KR970077163A - 증착 챔버 및 저유전 막 형성 방법 - Google Patents

증착 챔버 및 저유전 막 형성 방법 Download PDF

Info

Publication number
KR970077163A
KR970077163A KR1019970018373A KR19970018373A KR970077163A KR 970077163 A KR970077163 A KR 970077163A KR 1019970018373 A KR1019970018373 A KR 1019970018373A KR 19970018373 A KR19970018373 A KR 19970018373A KR 970077163 A KR970077163 A KR 970077163A
Authority
KR
South Korea
Prior art keywords
gas
chamber
oxygen supply
substrate
deposition chamber
Prior art date
Application number
KR1019970018373A
Other languages
English (en)
Other versions
KR100297420B1 (ko
Inventor
쉬지안 리
야신 왕
프레드 씨. 레데커
테트수야 이쉬카와
알란 더블유. 코린스
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR970077163A publication Critical patent/KR970077163A/ko
Application granted granted Critical
Publication of KR100297420B1 publication Critical patent/KR100297420B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

개선된 증착 챔버(2)는 기판 지지대(14)를 하우징하는 챔버(18)를 한정하는 하우징(4)을 포함한다. 산소와 SiF4의 혼합물은 제1노즐 세트(34)를 통해 이송되고 실란은 기판 지지대의 주변(40) 둘레의 챔버내의 제2노즐세트(34a)를 통해 이송된다. 시란(또는 실란과 SiF4의 혼합물)과 산소는 개별적으로 구멍(64,76)으로부터 기판에 걸쳐 일반적으로 중심적으로 챔버내에 주입된다. 각각의 가스에 대한 광학적 흐름 속도의 사용과 결과되는 가스의 균일한 분산은 막에 걸쳐 균일하게 낮은 유전 상수(3.4 이하)를 초래한다.

Description

증착 챔버 및 저유전 막 형성 방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 한 실시예에 따라 제조된 증착 챔버를 도시하는 개략적 단면도.

Claims (42)

  1. 챔버를 한정하는 하우징; 상기 챔버내에 기판 지지용 표면을 가지는 기판 지지대; 상기 기판 지지용 표면둘레의 상기 챔버내로 개방하는 제1출구를 가지는 제1가스 분배기; 상기 기판 지지용 표면의 중앙 영역으로부터 떨어져 배치되고 상기 중앙 영역과 중첩하는 제2출구를 가지는 제2가스 분배기; 및 상기 기관 지지용 표면상의 중앙에 상기 진공 챔버내로 개방하는 제3출구를 가지는 산소 공급용 가스 분배기를 포함하는 것을 특징으로 하는 증착 챔버.
  2. 제1항에 있어서, 상기 하우징은 접근 개구부를 한정하는 상부를 포함하고; 상기 산소 공급용 및 제2가스분배기 중 선택된 하나는 상기 접근 개구부에 중첩하는 상기 상부에 정착되는 몸체를 포함하고; 상기 몸체와 상기 상부에 포획된 유체는 상기 접근 개구부의 주위를 둘러싸고; 경로는 상기 유체 시일에 의해 부분적으로 한정되고 상기 가스 분배기의 다른 출구에 유동적으로 결합되어 상기 산소 공급용 경로를 따르는 가스는 통과는 상기 챔버내의 가스가 상기 시일과 접촉하는 것을 방지하도록 보조하는 것을 특징으로 하는 증착 챔버.
  3. 제2항에 있어서, 상기 다른 가스 분배기는 산소 공급용 가스 분배기인 것을 특징으로 하는 증착 챔버.
  4. 제2항에 있어서, 상기 하우징은 상기 상부를 가지는 유전체 밀폐체를 포함하는 것을 특징으로 하는 증착챔버.
  5. 제2항에 있어서, 상기 경로는 상기 접근 개구부를 통과하는 상기 연장부를 둘러싸는 통로부를 포함하는 것을 특징으로 하는 증착 챔버.
  6. 제2항에 있어서, 상기 경로는 상기 연장부로부터 떨어져 배치되고 상기 다른 가스 분배기의 상기 출구중 부가적 하나를 한정하는 다수의 상향 및 하향 연장 통로부를 포함하는 것을 특징으로 하는 증착 챔버.
  7. 제1항에 있어서, 상기 산소 공급용 가스 분배기는 다수의 상기 제3출구를 포함하는 것을 특징으로 하는 증착 챔버.
  8. 제1항에 있어서, 상기 챔버는 상기 하우징에 장착되고 무선 주파수 발생기에 결합되는 유도 코일을 포함하는 것을 특징으로 하는 증착 챔버.
  9. 제1항에 있어서, 상기 제1가스 분배기는 상기 기판 지지용 표면 주위의 중앙에 균등하게 배치된 다수의 노즐을 포함하는 것을 특징으로 하는 증착 챔버.
  10. 제1항에 있어서, 상기 제1가스 분배기는 제1 및 제2노즐 세트를 포함하고, 상기 제1노즐 세트는 상기 제2노즐 세트로부터 유동적으로 분리되는 것을 특징으로 하는 증착 챔버.
  11. 제1항에 있어서, 상기 제2가스 분배기는 노즐을 포함하고 상기 제2출구는 단일 구멍을 포함하는 것을 특징으로 하는 증착 챔버.
  12. 제1항에 있어서, 상기 제2가스 분배기는 다수의 노즐을 포함하고 상기 제2출구는 다수의 구멍을 포함하는 것을 특징으로 하는 증착 챔버.
  13. 기판 지지대를 하우징하는 진공 챔버와 상기 기판 지지대 주위에 위치된 제1처리 가스 출구를 가진 처리가스 분배기를 포함하는 형태의 개선된 증착 챔버에 있어서, 상기 기판 지지대로부터 떨어져 배치되고 상기기판 지지대 상의 중앙에 배치된 제2가스 처리 출구를 가지는 제2처리 가스 분배기; 및 상기 기판 지지대로부터 떨어져 배치되고 상기 기판 지지대 상의 중앙에 배치된 제3출구를 가지는 산소 공급용 가스 분배기를 포함하는 것을 특징으로 하는 개선된 증착 챔버.
  14. 제13항에 있어서, 상기 제2처리 가스 출구는 노즐에 의해 한정되고 상기 제3출구는 상기 노즈를 둘러싸는 것을 특징으로 하는 개선된 증착 챔버.
  15. 증착 챔버내의 기판상에 막을 증착하기 위한 방법에 있어서, 상기 챔버내의 기판을 둘러싸는 다수의 위치에서 상기 챔버내로 제1처리 가스를 주입하는 단계; 상기 기판으로부터 떨어져 배치되고 상기 기판 상의 중앙에 배치된 제1영역에서 상기 챔버내로 제2처리 가스를 주입하는 단계; 및 상기 기판으로부터 떨어져 배치되고 상기 기판 상의 중앙에 배치된 제2영역에서 상기 챔버내로 산소 공급용 가스를 주입하는 것을 특징으로 하는 막 형성 방법.
  16. 제15항에 있어서, 상기 산소 공급용 가스 주입 단계는 상기 산소 공급용 가스와 상기 제2처리 가스가 이들이 상기 챔버내에 있을 때까지 혼합되지 않는 방식으로 수행되는 것을 특징으로 하는 막 형성 방법.
  17. 제15항에 있어서, 상기 제2처리 가스와 산소 공급용 가스 주입 단계는 인접하는 상기 제1 및 제2영역으로 수행되는 것을 특징으로 하는 막 형성 방법.
  18. 제15항에 있어서, 상기 산소 공급용 가스와 상기 제1 및 제2처리 가스는 선택된 비율로 주입되는 것을 특징으로 하는 막 형성 방법.
  19. 제15항에 있어서, 상기 3개 주입 단계는 동시에 발생하는 것을 특징으로 하는 막 형성 방법.
  20. 제15항에 있어서, 상기 제1처리 가스 주입 단계는 적어도 산소 공급용 가스와 플루오르 공급 가스를 사용하여 수행되는 것을 특징으로 하는 막 형성 방법.
  21. 제20항에 있어서, 사기 제1처리 가스 주입 단계는 산소와 플루오르 공급용 가스로서 실리콘 테트라플루오르화물을 사용하여 수행되는 것을 특징으로 하는 막 형성 방법.
  22. 제20항에 있어서, 상기 제1처리 가스 주입 단계는 상기 산소 공급용 가스로부터 개별적으로 적어도 실란을 주입함으로써 수행되는 것을 특징으로 하는 막 형성 방법.
  23. 제15항에 있어서, 상기 제2처리 가스 주입 단계는 적어도 실란을 사용하여 수행되는 것을 특징으로 하는 막 형성 방법.
  24. 제15항에 있어서, 상기 제2처리 가스 주입 단계는 적어도 실란과 실리콘 테트라플루오로화물을 사용하여 수행되는 것을 특징으로 하는 막 형성 방법.
  25. 제15항에 있어서, 상기 방법은 상기 제1, 제2 및 산소 공급용 가스 흐름속도에 대한 선택한 가스 흐름속도를 선택하는 단계를 더 포함하는 것을 특징으로 하는 막 형성 방법.
  26. 제25항에 있어서, 상기 비율 선택 단계는 약 3.4 이하의 유전 상수를 가진 막을 달성하도록 수행되는 것을 특징으로 하는 막 형성 방법.
  27. 제25항에 있어서, 상기 주입 단계는 적어도 실리콘 테트라플루오르화물을 포함하는 실리콘 함유 가스를 주입하고, 상기 비율 단계는 전체 실리콘 공급용 가스 흐름 속도의 약 40% 내지 60%의 실리콘 테트라플루오로화물 가스 속도와 전체 실리콘 공급용 가스 흐름 속도의 약 60% 내지 약 100%의 산소 공급용 가스 흐름을 선택함으로써 수행되는 것을 특징으로 하는 막 형성 방법.
  28. 제25항에 있어서, 상기 비율 선택 단계는 약 3.3 이하의 유전 상수를 가진 막을 달성하도록 수행되는 것을 특징으로 하는 막 형성 방법.
  29. 제28항에 있어서, 상기 비율 선택 단계는 개별적으로 약 36과 44sccm의 실리콘 테트라플루오르화물과 실란의 흐름 속도 및 80sccm 미만의 전체 산소 흐름을 선택함으로써 수행되는 것을 특징으로 하는 막 형성 방법.
  30. 제25항에 있어서, 상기 제1 및 제2처리 가스 주입 단계는 적어도 플루오르 공급용 가스와 실린을 사용하여 수행되는 것을 특징으로 하는 막 형성 방법.
  31. 제30항에 있어서, 상기 비율 선택 단계는 플루오르 및 산소 공급 가스로서 실리콘 테트라플루오르화물과 산소를 사용하여 수행되는 것을 특징으로 하는 막 형성 방법.
  32. 제30항에 있어서, 상기 방법은 3.5 이하의 유전 상수를 가진 막을 달성하도록 실란과 산소 공급용 가스의 비율을 선택하는 단계를 더 포함하는 것을 특징으로 하는 막 형성 방법.
  33. 제32항에 있어서, 상기 비율 선택 단계는, 전체 가스 흐름 속도를 선택하는 단계; 상기 전체 가스 흐름속도를 산소 공급용 가스, 실란 및 플루오르 공급용 가스 흐름 속도의 세트내로 분배하는 단계; 상기 흐름속도의 세트를 사용하여 기판 상에 막을 증착하는 단계; 상기 막의 유전 상수를 측정하고 기록하는 단계; 및 상기 산소 공급용 가스, 실란 및 플루오르 공급용 가스 흐름속도의 세트 중 요구된 하나가 요구된 유전 상수를 달성하도록 선택될 수 있도록 각각의 상기 막에 대한 유전 상수를 달성하도록 상기 분배, 상기 증착 및 상기 측정과 기록 단계를 반복하는 단계에 의해 수행되는 것을 특징으로 하는 막 형성 방법.
  34. 제32항에 있어서, 상기 비율 선택 단계는, 전체 반응 가스 흐름 속도를 선택하는 단계; 상기 전체 반응가스 흐름 속도를 실란과 플루오르 공급용 가스 흐름 속도의 세트내로 분배하는 단계; 산소 공급용 가스 흐름속도를 선택하는 단계; 상기 산소 공급용 가스 흐름 속도와 상기 흐름 속도의 세트를 사용하여 기판상에 막을 증착하는 단계; 상기 막의 유전 상수를 측정하고 기록하는 단계; 서로 다른 산소 공급용 가스 흐름 속도외에 상기 실란과 플루오르 공급용 가스흐름 속도의 동일한 세트를 사용하여 증착되는 상기 막에 대한 유전 상수의 세트를 얻기 달성하기 위해 여러번 상기 선택, 상기 증착 및 상기 측정과 기록 단계를 반복하는 단계; 상기분배, 상기 선택, 상기 증착, 상기 측정과 기록, 상기 반복 단계를 여러번 반복하는 단계; 및 기록된 상기유전상수에 따라 산소 공급용 실란과 플루오르 공급용 가스 흐름 속도의 요구된 그룹을 선택하는 단계를 포함하는 것을 특징으로 하는 막 형성 방법.
  35. 제34항에 있어서, 상기 요구된 흐름 속도의 세트는 상기 실란과 플루오르 공급용 가스 흐름 속도의 동일한 세트에 대해 최소인 유전 상수에 기초하여 선택되는 것을 특징으로 하는 막 형성 방법.
  36. 제34항에 있어서, 상기 측정 및 기록 단계는 상기 각각의 실란과 플루오르 공급용 가스 흐름 속도의 세트에 대해 산소 공급용 가스 흐름 속도와 대비하여 유전 상수를 플롯팅하는 그래프를 형성하는 단계를 포함하는 것을 특징으로 하는 막 형성 방법.
  37. 제36항에 있어서, 상기 요구된 흐름 속도의 세트는 상기 그래프의 상기 최소 유전 상수에 기초하여 선택하는 것을 특징으로 하는 막 형성 방법.
  38. 상기 제15항에 있어서, 상기 제1 및 제2처리 가스 주입 단계는 서로 다른 성분비를 가지는 가스를 사용하여 수행되는 것을 특징으로 하는 막 형성 방법.
  39. 상기 제15항에 있어서, 선택한 하나의 상기 제2처리 및 산소 공급용 가스 주입 단계는 노즐을 통해 달성되며, 상기 다른 제2처리와 산소 공급용 가스 주입 단계는 상기 노즐을 둘러싸는 환형 구멍을 통해 적어도 부분적으로 달성되는 것을 특징으로 하는 막 형성 방법.
  40. 제15항에 있어서, 상기 제2처리 가스 주입 단계는 적어도 하나의 구멍을 가지는 노즐을 통해 달성되는 것을 특징으로 하는 막 형성 방법.
  41. 제 40항에 있어서, 상기 산소 공급용 가스 주입 단계는 상기 노즐을 둘러싸는 환형 구멍을 통해 적어도 부분적으로 달성되는 것을 특징으로 하는 막 형성 방법.
  42. 제15항에 있어서, 상기 제2처리 가스 주입 단계는 다수의 구멍을 통해 달성되는 것을 특징으로 하는 막 형성 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019970018373A 1996-05-13 1997-05-13 증착챔버및저유전막형성방법 KR100297420B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US64761996A 1996-05-13 1996-05-13
US08/647,619 1996-05-13

Publications (2)

Publication Number Publication Date
KR970077163A true KR970077163A (ko) 1997-12-12
KR100297420B1 KR100297420B1 (ko) 2001-10-24

Family

ID=24597681

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970018373A KR100297420B1 (ko) 1996-05-13 1997-05-13 증착챔버및저유전막형성방법

Country Status (6)

Country Link
EP (1) EP0807694B1 (ko)
JP (1) JP4087923B2 (ko)
KR (1) KR100297420B1 (ko)
AT (1) ATE229576T1 (ko)
DE (1) DE69717711T2 (ko)
TW (1) TW343356B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100406173B1 (ko) * 2000-06-13 2003-11-19 주식회사 하이닉스반도체 촉매 분사 수단을 구비한 히터 블록
KR100611610B1 (ko) * 1997-07-02 2006-10-24 어플라이드 머티어리얼스, 인코포레이티드 Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6486081B1 (en) 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
CN101351076B (zh) * 2008-09-16 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS54111771A (en) * 1978-02-22 1979-09-01 Toshiba Corp Gas phase reaction unit of semiconductor substrate
JPH02126632A (ja) * 1988-11-05 1990-05-15 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体結晶層の気相成長方法及びそれに用いる反応管
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
KR0131439B1 (ko) * 1992-11-24 1998-04-14 나카무라 타메아키 반도체장치 및 그 제조방법
JPH0729827A (ja) * 1993-07-13 1995-01-31 Kawasaki Steel Corp 半導体基板の製造方法および装置
JP2667364B2 (ja) * 1993-08-16 1997-10-27 キヤノン販売株式会社 成膜装置
JPH07161642A (ja) * 1993-12-03 1995-06-23 Kobe Steel Ltd プラズマ処理装置
JPH07254592A (ja) * 1994-03-16 1995-10-03 Fujitsu Ltd 半導体装置の製造方法
JP3243125B2 (ja) * 1994-06-27 2002-01-07 東京エレクトロン株式会社 処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100611610B1 (ko) * 1997-07-02 2006-10-24 어플라이드 머티어리얼스, 인코포레이티드 Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절
KR100406173B1 (ko) * 2000-06-13 2003-11-19 주식회사 하이닉스반도체 촉매 분사 수단을 구비한 히터 블록
US6846364B2 (en) 2000-06-13 2005-01-25 Hynix Semiconductor Inc. Heater block having catalyst spray means

Also Published As

Publication number Publication date
JP4087923B2 (ja) 2008-05-21
ATE229576T1 (de) 2002-12-15
JPH1064892A (ja) 1998-03-06
KR100297420B1 (ko) 2001-10-24
TW343356B (en) 1998-10-21
EP0807694B1 (en) 2002-12-11
EP0807694A1 (en) 1997-11-19
DE69717711D1 (de) 2003-01-23
DE69717711T2 (de) 2003-09-18

Similar Documents

Publication Publication Date Title
US10364509B2 (en) Alkyl push flow for vertical flow rotating disk reactors
US5772771A (en) Deposition chamber for improved deposition thickness uniformity
KR100862658B1 (ko) 반도체 처리 시스템의 가스 주입 장치
KR100782369B1 (ko) 반도체 제조장치
KR100190355B1 (ko) 가스를 표면에 전달하기 위한 일체식 분사 장치
KR960005767A (ko) 균일 가스 유동 패턴을 위한 배출 배플
US20020086106A1 (en) Apparatus and method for thin film deposition
KR100509231B1 (ko) 박막증착용 반응용기
EP0877410A1 (en) Deposition chamber and method for depositing low dielectric constant films
CN103290389A (zh) 使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法
KR970077163A (ko) 증착 챔버 및 저유전 막 형성 방법
KR20060107683A (ko) 화학 기상 증착 장치
KR20080022699A (ko) 유량조절블럭을 구비한 샤워헤드
KR100765390B1 (ko) 돔 형태의 샤워헤드를 이용한 박막 증착 장치
KR20080095105A (ko) 플라즈마를 이용한 bpsg 증착 장치
KR20020006776A (ko) 원자층 박막 증착장치
KR200165881Y1 (ko) 가스 분산 공급구
KR100972111B1 (ko) 배치 방식 반도체 제조 장치
KR20090101157A (ko) 수직 흐름 회전 디스크 리액터를 위한 밀도 매칭 알킬 압출 흐름
KR20000027055A (ko) 화학기상증착장비 및 그 장비를 사용한 화학기상증착방법
KR20060001082A (ko) 증착 장치
KR20010055816A (ko) 화학증착 헤드의 가스 균일분사장치
KR20040017255A (ko) 박막 증착 장치 및 그 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 16

EXPY Expiration of term