CN103290389A - 使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法 - Google Patents

使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法 Download PDF

Info

Publication number
CN103290389A
CN103290389A CN201310090757XA CN201310090757A CN103290389A CN 103290389 A CN103290389 A CN 103290389A CN 201310090757X A CN201310090757X A CN 201310090757XA CN 201310090757 A CN201310090757 A CN 201310090757A CN 103290389 A CN103290389 A CN 103290389A
Authority
CN
China
Prior art keywords
gas
shower nozzle
showerhead
reactant
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201310090757XA
Other languages
English (en)
Inventor
卞哲秀
韩万哲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Piezonics Co Ltd
Korea Institute of Industrial Technology KITECH
Original Assignee
Piezonics Co Ltd
Korea Institute of Industrial Technology KITECH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Piezonics Co Ltd, Korea Institute of Industrial Technology KITECH filed Critical Piezonics Co Ltd
Publication of CN103290389A publication Critical patent/CN103290389A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

本发明涉及使用喷头的化学气相沉积(CVD)用设备和方法,通过所述喷头,将至少一种反应性气体和吹扫气体注入到衬底上,在所述衬底上生长膜。将多个反应性气体喷头组件布置在吹扫气体喷头组件上。每种反应性气体在独立地流经所述喷头后,从所述喷头的底部注入,从而防止所述反应性气体导致均一气相反应和在所述喷头的内部产生不需要的颗粒。并且吹扫气体从所述喷头的底表面注入,通过形成保护屏障,从而抑制注入的反应性气体向后扩散。每种反应性气体在所述喷头内部的混合区内与注入载气混合,所述注入载气是一种惰性气体,其中通过混合的注入载气的量,主动地调节每种反应性气体的注入速度。本发明进一步包括其中通过冷却夹套冷却所述喷头的设备和方法,所述冷却夹套将所述喷头的温度保持在适当水平,以防止所使用的反应性气体的冷凝和热分解。

Description

使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法
本申请是申请号为“200780034369.2”、申请日为2007年2月16日、发明名称为“使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法”的申请的分案申请。
技术领域
本发明涉及使用喷头的化学气相沉积(CVD)用设备和方法,所述喷头向衬底上供给多种反应性气体和吹扫气体,以在衬底上生长厚度和组成均一的膜。在此,本发明与美国专利7,156,921(“能够防止污染并提高膜生长速率的化学气相沉积用方法和设备(Method and apparatus for chemical vapor depositioncapable of preventing contamination and enhancing film growth rate)”,于2002年10月9日提交)相关,该美国专利的全部内容通过引用结合在此。
背景技术
在用于化学气相沉积(CVD)的设备中,反应性气体被引入真空反应室中,流经喷头,并且到达衬底位于其上的基座或衬底架。反应性气体在衬底上导致化学反应以形成所需的膜。作为提供在衬底上诱导化学反应所必需的能量的方法,广泛使用将衬底简单加热或利用原子能激发反应性气体,例如产生等离子体的方法。在反应完成后,将副产物气体通过包括真空泵的排气系统从反应室中移除,然后通过净化系统,最后排放到大气中。然而,因为在沉积处理的过程中防止在反应室的壁或喷头上沉积不需要的颗粒是非常重要的,所以优选反应性气体不在气态下相互反应。不幸的是,如果其分解温度显著低于200℃的反应性气体,如金属-有机化合物在反应室中混合,则混合物可能导致气相中的均相反应,从而导致污染物颗粒的产生,或导致在固态表面如喷头表面或反应室壁上的非均相反应。特别是,可能发生的是反应性气体对特殊材料敏感。例如,四丁氧基锆(zirconium tert-butoxide)(Zr(OC4H9)4)对水分极为敏感,从而很可能形成白色粉末类型的氢氧化锆(Zr(OH)x)。水分可能被物理吸附在反应室的内侧上,但是也可能以副产物气体的形式产生在衬底上。然后,水分在反应室的内壁或喷头的表面上与(Zr(OC4H9)4)反应,从而沉积氢氧化锆。由于重复的热膨胀和收缩和/或表面材料与沉积物之间晶格参数的不匹配,不需要的沉积物最后剥落成细颗粒。由于这个原因,在衬底上形成的膜可能被污染,并且由于移除不需要的沉积物而缩短的预防性维护周期,生产率劣化。
当制备高度集成半导体时,污染物颗粒可能导致图案缺陷,例如线之间的短路或断路,并且影响收率的污染物颗粒的尺寸与线宽成比例。因此,随着线尺寸变得更小,即,随着集成的密度增加,影响收率的颗粒的尺寸变得更小,由此更严格地限制了在反应室中允许的污染物颗粒的数量。
图1是现有技术美国专利6,626,998的喷头的简要截面图,其中多种反应性气体在不被混合的情况下流过,并且被注入到衬底上,以防止其中的反应性气体之间的反应。当将每种反应性气体通过多个气体供给通道17供给到第一环形独立通道23时,气体在第一独立通道23中扩散,然后,通过多个在每一通道的底部形成的转移通道25被传送到第二环形独立通道27。在第二通道27中扩散后,反应性气体通过在第二通道的底部形成的多个第二气体转移通道31被供给到衬底上。反应性气体在置于基座上的衬底(未显示)上导致化学反应,以在衬底上形成所需的膜,所述基座保持衬底的温度高于环境的温度。
图2是如JP2005-129712中所描述的现有技术喷头的简要截面图。第一吹扫气体注入孔10b包围反应性气体注入孔10a,并且将第二吹扫气体注入孔10c以适当的间隔安置在第一吹扫气体注入孔10b之间。在此构造中,通过使用的第一和第二吹扫气体的作用,抑制在喷头底部的不需要的膜沉积。
发明内容
技术问题
然而,如果没有适当的方法,则具有低分解温度或对水分敏感的反应性气体例如金属-有机化合物气体可能在喷头的底部导致不需要的沉积物。在图1的现有技术中,从喷头的底部注入的反应性气体可能向后扩散并且在喷头的底部造成污染。
在图2的现有技术中,第一吹扫气体和第二吹扫气体的注入速度高度依赖于第一和第二吹扫气体注入孔的总截面积的比率。在这方面,主动(positively)并且最优地控制第一和第二吹扫气体的注入速度似乎是非常困难的。并且据称,“后混合”比“预混合”优选,所述“后混合”是反应性气体和吹扫气体在喷头的底部与衬底之间的空间处的混合,所述“预混合”是反应性气体和吹扫气体在进入反应室之前的阶段的混合。然而,在将惰性气体用作吹扫气体的情况下,吹扫气体与反应性气体在喷头内导致化学反应是非常困难的。在这方面,在CVD系统中优选“后混合”应当被重新考虑。此外,图2中的现有技术没有提到使用多种反应性气体的情况。如果将多种反应性气体预先混合并供给到喷头内,则极有可能在喷头内产生颗粒。
在衬底上生长的膜的性能由包含膜的组分的反应性气体的传质控制。反应性气体从喷头到衬底的传质是通过多种途径,包括对流、扩散和热泳进行的。在这些之中,对流是相对易于控制的。有效的解决方案可以是独立地调节反应性气体的注入速度,以满足膜所需的组成和均匀性。然而,现有技术没有提到提高在衬底上生长的膜的均匀性的方法。
使用各种反应性气体通过CVD方法形成各种膜的必要性一直在增长。然而,如果还使用常规喷头装置,则由于所使用的反应性气体的不可预料的性质,可能在喷头内沉积不需要的颗粒,或不能获得在衬底上生长的膜的良好质量,这可能限制CVD方法的广泛应用。
技术解决方案
在本发明中,提供一种使用喷头的化学气相沉积(CVD)用设备及其方法,其中将每种反应性气体在穿过喷头的同时独立地供给到衬底,其中吹扫气体从喷头的底表面被注入并形成保护屏障(curtain),其中主动地且在外部调节每种反应性气体的注入速度,以在衬底上产生反应性气体的均匀混合,并且其中将喷头应用于反应性气体限制装置,该反应性气体限制装置包围衬底并在其一端延伸到反应室的底部。
将多种反应性气体和注入载气供给到喷头内,使得将每种反应性气体与每种注入载气在喷头内部的混合区内混合,并且将吹扫气体供给到在喷头的内部形成的隔室中。然后,将与注入载气混合的反应性气体和吹扫气体分别通过形成在喷头的底表面处的多个反应性气体出口和多个吹扫气体出口注入,从而对每种反应性气体和吹扫气体的注入速度进行主动调节。
喷头包括相互分离的多个反应性气体喷头组件和吹扫气体喷头组件,反应性气体喷头组件的数量与反应性气体的种类数相同,并且多个反应性气体注入管连接到反应性气体喷头组件的底部,以注入与注入载气混合的反应性气体,所述注入载气是一种惰性气体。吹扫气体喷头组件被安装在反应性气体喷头组件下面,所述吹扫气体喷头组件具有多个导管,所述导管的端部气密地接合到吹扫气体喷头组件的顶板和底板处形成的孔上,以接纳沿其内部的反应性气体注入管。多个出口形成在吹扫气体喷头组件的底部,以注入吹扫气体。还将导管插入反应性气体喷头组件,由此连接到在上部位置的反应性气体喷头组件的反应性气体注入管沿下部反应性气体喷头组件的导管的内部穿过在下部位置的反应性气体喷头组件。
冷却夹套组成喷头的最下部,通过将喷头的温度保持在适宜的水平抑制喷头中反应性气体的冷凝和热分解。
有益效果
如上所述,本发明具有每种反应性气体独立地穿过喷头的功能,从而防止反应性气体在喷头的内部混合。此外,本发明具有吹扫气体从喷头的底表面被注入并且在喷头的底部下面形成保护屏障的功能,从而抑制反应性气体向后扩散。此外,本发明具有通过控制在喷头中与反应性气体混合的注入载气的量,主动地调节每种反应性气体的注入速度的功能,从而容易地确定在衬底上生长的膜的组成。此外,本发明具有通过安装组成喷头最下部的冷却夹套而将喷头的温度保持在适当水平的功能,从而在喷头的内部和底部抑制由反应性气体的热分解导致的不需要的膜沉积。除此以外,如果将本发明与反应性气体限制装置一起用于CVD系统,则还防止反应性气体限制装置内部的污染,并且通过将反应性气体限制在衬底的附近而增加膜生长速率。
尽管参照具体说明性实施方案对本发明进行了描述,但是本发明不受实施方案的限制,而仅受后附的权利要求的限制。应当理解,本领域的技术人员在不偏离本发明的范围和精神的情况下,可以对实施方案进行改变或变化。
附图简述
从以下结合附图对本发明的优选实施方案的详细描述中,本发明的上述和其它目的、特征和优点将变得明显,在附图中:
图1是显示将不同种类的反应性气体引导并注入到衬底的常规喷头的简要截面图;
图2是显示在使用一种反应性气体的情况下,能够防止在其底部处不需要的膜沉积的常规喷头的简要截面图;
图3是根据本发明的第一实施方案的喷头的透视图,显示按顺序垂直地布置多个反应性气体喷头组件和吹扫气体喷头组件;
图4是第一实施方案的喷头的截面图,其中反应性气体和注入载气的混合在其隔室内进行;
图5是吹扫气体喷头组件的详细截面图,显示吹扫气体出口和其端部气密地接合到吹扫气体喷头组件底部的导管;
图6是吹扫气体喷头组件的详细截面图,显示在导管的端部和在吹扫气体喷头组件底部处的孔之间的间隙;
图7是第二实施方案的喷头的截面图,该喷头具有促进反应性气体与注入载气的混合的改良结构;
图8是第三实施方案的喷头的截面图,显示按顺序垂直地布置的多个反应性气体喷头组件和吹扫气体喷头组件,其中反应性气体和注入载气的混合在其出口部分进行;
图9是第三实施方案的混合区的详细截面图;
图10是具有冷却夹套的喷头的局部截面图,所述冷却夹套被安置在吹扫气体喷头组件下面并且将喷头的温度保持在适当的水平;
图11是显示在喷头的底部处多种反应性气体和吹扫气体的注入速度的大小的示意图;
图12是显示反应性气体注入管的行和列在垂直方向上交叉,并且两个相邻列以一定距离偏移并交错的排列的仰视图;
图13是显示反应性气体注入管的位置在多个圆周方向上重复的排列的仰视图;
图14是显示将根据本发明的喷头应用于反应性气体限制装置的第一实施例的简要截面图;
图15是显示将根据本发明的喷头应用于另一种类型的反应性气体限制装置的第二实施例的简要截面图。
实施本发明的最佳方式
本发明中的吹扫气体自身不溶解或产生副产物。例如,吹扫气体包括Ar、N2、和He。如果在喷头中不引起化学反应,则H2或O2可以被包含作为吹扫气体,并且可以作为原料参与衬底上的沉积过程。具有较小分子量的吹扫气体在反应室中立刻扩散,并且相对较少地受到由真空泵的作用所导致的压力循环的影响。
同时,反应性气体是通过热解、结合、和/或其它方式直接参与衬底上的沉积过程的原料气,例如包含沉积的膜的组分的气体原料、包含沉积的膜的组分的汽化原料与用于汽化的载气的混合物、或未借助于载气的包含沉积的膜的组分的纯汽化原料。原料包括,例如用于Pb的Pb(C2H5)4、用于Zr的Zr(OC4H9)4、和用于Ti的Ti(OC3H7)4,它们全部是PZT(钛酸锆铅)膜的沉积中的金属-有机化合物。载气包括,例如Ar、N2、He、H2等。反应性气体在包括衬底、反应室内壁和喷头的反应室的全部内部结构上导致吸附和表面反应。注入载气是一类惰性气体,例如Ar、N2或H2。如果在喷头内不引起化学反应,则H2或O2也可以被包含为注入载气。
图3至6显示本发明的第一实施方案。如图3中所示,按顺序垂直地布置两个反应性气体喷头组件和一个吹扫气体喷头组件。如果使用多于两种的反应性气体,则反应性气体喷头组件的数量可以为3、4、或更大。
如图4中所示,反应性气体和注入载气分别沿反应性气体入口123和注入载气入口125被分别引入上部反应性气体喷头组件110的扩散室171和混合室172。扩散室171由顶板161、上部壁163、和上隔膜135组成,其中沿反应性气体入口123输送的反应性气体被扩散。然后,反应性气体通过上隔膜135的多个孔137被输送到混合室172中。混合室172由所述上隔膜135、中部壁165、和下隔膜145组成,其中沿注入载气入口125输送的注入载气与经由在上隔膜135处的孔137从所述扩散室171输送的反应性气体混合。反应性气体和注入载气的混合物通过在下隔膜145处穿孔的多个孔147被输送到分配室173内。分配室173由所述下隔膜145、下部壁167、和底部169组成,其中从所述混合室172输送的反应性气体和注入载气的混合物被均等地分配到多个反应性气体注入管151,该多个反应性气体注入管151气密地接合到分配室173的底部169的孔上。在图4中,优选上隔膜135的孔137和下隔膜145的孔147小得足以导致混合室172中的均匀混合,例如直径为0.3至0.6mm。反应性气体注入管151延伸相当长的距离,约60至120mm。在这方面,建议反应性气体注入管151的内径为至少1.5mm。
然后,反应性气体注入管151沿导管281穿过下部反应性气体喷头组件210,所述导管281的端部气密地接合到在下部反应性气体喷头组件210的顶部261和底板269处形成的孔。另一方面,吹扫气体通过其吹扫气体入口423被引入吹扫气体喷头组件410,在流经在中部板435形成的多个孔437之后,在吹扫气体喷头组件410的内部充分扩散,然后,从位于吹扫气体喷头组件410的底部469的吹扫气体出口446注入。优选吹扫气体出口446的尺寸小得足以导致在吹扫气体喷头组件内部吹扫气体的均匀分布,其中建议的尺寸为内径0.3至0.6mm。可能必须的是吹扫气体出口446向衬底延伸到预定距离(图5中的“d1”),例如3mm以内。最后,分别从反应性气体喷头组件110和210延伸的反应性气体注入管151和251沿导管481穿过吹扫气体喷头组件410,其中如图5所示,导管481的端部气密地接合到在吹扫气体喷头组件410的顶部461和底板469处形成的孔。如果在导管481和吹扫气体喷头组件410的底部469的孔450之间存在间隙,即图6中的“g1”,则预期在防止反应性气体注入管151或251的末端处的不需要的颗粒沉积物方面将增强。然而,在这种情况下,结构变得复杂并且不易独立地确定经由吹扫气体出口的吹扫气体的注入速度和经由间隙的吹扫气体的注入速度。同时,从反应性气体注入管的端部末端注入的反应性气体的惯性在防止末端处的污染方面起着比从间隙注入的吹扫气体的作用更大的作用。在这方面,即使不存在间隙,并且吹扫气体不通过间隙流动,也预期末端处的污染不严重。然而,从吹扫气体出口注入的吹扫气体在喷头下面产生保护屏障方面仍然起到重要作用。
图7显示本发明的第二实施方案,其中反应性气体和注入载气的混合在反应性气体喷头组件内被促进。反应性气体和注入载气分别沿反应性气体入口123和注入载气入口125被分别引入反应性气体喷头组件110的反应性气体扩散室861和注入载气扩散室862。反应性气体沿多个反应性气体扩散通道865穿过注入载气扩散室862。要求反应性气体扩散通道865的一端气密地接合到反应性气体扩散室862的底部835,以便抑制注入载气向后扩散至反应性气体扩散室861。激光焊接技术适合用在接合工艺中。并且建议在反应性气体扩散通道865的另一端与在注入载气扩散室862的底部845处形成的孔之间不存在间隙,以抑制注入载气沿该间隙通过。优选反应性气体扩散通道的数量和尺寸分别为0.2至0.4个/cm2之间,和在0.8至1.6mm之间的内径。取而代之,在注入载气扩散室862的底部845处形成多个直径约0.3至0.6mm的小孔847,以确保注入载气均匀地注入分配室863。然后,反应性气体和注入载气在分配室863中被混合并均等地分配到反应性气体注入管151。
图8显示本发明的第三实施方案。第三实施方案与第一或第二实施方案的主要区别是喷头中混合区的位置。反应性气体经由端口713进入反应性气体扩散室711,并且被均等地分配到在其底部719处接合的多个内部反应性气体注入管751。注入载气经由端口723进入注入载气扩散室712,并且被均等地分配到在其底部729处接合的多个外部反应性气体注入管752。如图8中所示,被外部反应性气体注入管752包围的内部反应性气体注入管751向衬底延伸,并且沿导管781穿过吹扫气体喷头组件780。内部反应性气体注入管751在其端部比外部反应性气体注入管752短5至10mm。反应性气体扩散室711和注入载气扩散室712被O形环754和螺栓799气密地固定。内部反应性气体注入管和外部反应性气体通道作为一对构成反应性气体注入管,并且在如图9中所示,在内部和外部反应性气体注入管的端部之间形成的混合区777处进行反应性气体和注入载气的混合。
如图10中所示,在本发明中冷却夹套510被安装在吹扫气体喷头组件下面。冷却夹套510具有将喷头的温度保持在适当水平,例如,150-200℃的温度的功能。冷却夹套510由上部板561、壁563、和下部板569组成,其中用于反应性气体注入管151、251的多个导管582以及用于吹扫气体的流动的多个导管581在它们的端部气密地接合到在上部板561和下部板569处形成的孔。经由冷却剂供给口523供给到冷却夹套510内的冷却剂流经冷却夹套510的内部空间和冷却剂出口(525),然后最终从反应室(未显示)出来。冷却剂可以是压缩空气、水等。然而,可以不过分地强调不应有冷却剂渗漏到反应室。可以在喷头表面的任何适当的位置安装热电偶(未显示),以测量和控制喷头温度。因为该技术属于一般方法,所以在此将省略详细的描述。在本发明中使喷头冷却的效果在防止由在不必要的高温下的热分解所导致的喷头内和吹扫气体喷头组件的底表面上的不需要的膜沉积方面是明显的。
如图11中所示,本发明中,反应性气体和注入载气的混合物从反应性气体注入管151和251的端部朝衬底(未显示)注入。优选反应性气体注入管151和251的端部末端具有以使喷头组件容易地彼此组装的收缩喷嘴的形状,以通过增加反应性气体的注入速度而增强对在喷头的底部处不需要的颗粒沉积的防止。图11中由d3表示的端部处的喷嘴内径在0.8至2mm之间。如果反应性气体注入管151和251的端部从喷头410的底部479向衬底延伸,则防止喷头底部处不需要的颗粒沉积的效果将增加,但是反应性气体注入管151和251的端部末端处的温度将上升很多。在这方面,建议突部在10mm以内。
在使用多种反应性气体的情况下,可以在不影响反应性气体输送比率的情况下,通过注入载气的量主动地调节每种反应性气体的注入速度。如图11中所示,反应性气体A的注入速率VA可以高于反应性气体B的注入速率VB。因此,能够更有效地确定高度依赖于每种反应性气体的传质的生长在衬底上的膜的组成。除此以外,还能够主动地调节经由吹扫气体出口446的吹扫气体的流速。
一种反应性气体与另一种反应性气体直至它们被从喷头中注入时才混合,然后,注入的每种反应性气体和吹扫气体在喷头410的底表面479与衬底之间的空间处混合到一起。优选喷头410的底表面479与衬底相隔20mm至60mm,以便实现生长在衬底上的膜的均匀性与生长速率之间的最佳折衷。
反应性气体注入管的数量密度与衬底上膜的均匀性直接相关。对于一种反应性气体,合适的数量密度是为约0.2-0.4个/cm2。并且喷头的底部的有效尺寸,即形成反应性气体注入管的区域,将大得足以覆盖衬底。对于直径150mm的衬底的情况,喷头的有效底部尺寸为直径约200mm,并且一种反应性气体注入管的总数量在60至120之间。关于反应性气体注入管的排列,如果排列能够确保反应性气体的均匀散布,则其将具有任何特定图案乃至随机性。考虑使用分别被表示为“A”、“B”、和“C”的三种反应性气体的情况。图12是显示反应性气体注入管的行和列在垂直方向上交叉,并且两个相邻列以一定距离偏移并交错的排列的仰视图。图13是显示反应性气体注入管的位置在多个圆周方向上重复的排列的仰视图。
<实施例1>
图14显示将本发明的喷头100应用于反应性气体限制装置900的第一实施例。在此,反应性气体限制装置900与反应室1的内壁7和顶板相隔一定距离,用拱顶形的顶部包围衬底9,沿其端部接触反应室的底部961,具有在其上形成的多个细孔和在其顶部的中部形成的开口部分,在所述顶部上,喷头100的边缘沿开口放置,使得喷头100的底表面和衬底相互平行并且面对。关于反应性气体限制装置的细节通过引用结合在美国专利7,156,921中。
如图14中所示,两种反应性气体、两种注入载气、和第一吹扫气体分别通过反应性气体供给管954A和954B、注入载气供给管955A和955B、以及第一吹扫气体供给管956被输送到喷头100。冷却剂通过冷却剂供给管961进入喷头100,并且通过冷却剂返流管962从反应室1出来。并且第二吹扫气体经由第二吹扫气体供给口957被输送到反应室壁7和反应性气体限制装置900之间的空间970。然后,反应性气体限制装置900通过从反应性气体限制装置900的外部向内部输送的第二吹扫气体的保护屏障作用,起到防止在其内侧上的不需要的颗粒沉积的作用。除此以外,还通过本发明中之前描述的喷头的功能防止喷头的底部处的污染。此外,通过将反应性气体限制在衬底附近的第二吹扫气体的作用,大大提高生长在衬底上的膜的生长速率。
<实施例2>
图15显示将本发明的喷头100应用于另一种类型的反应性气体限制装置900的情况。在此,反应性气体限制装置900具有带平面边缘的顶板。具有边缘的顶板(911)能够被容易地安装在反应室1中的装置例如突出点966上。并且能够容易地形成在反应性气体限制装置的顶板和垂直壁之间的间隙,以允许衬底9的进出。当通过利用连接到与平台935气密地接合的波纹管968的一种控制杆(未显示)将反应性气体限制装置的垂直壁912降低而使间隙967打开时,通过从传输室(未显示)通过闸阀930和间隙967向反应室1插入机械臂(未显示),随后升高和降低平台935,完成衬底9的进出。
尽管参照具体说明性实施方案对本发明进行了描述,但是本发明不受所述实施方案的限制,而仅受后附的权利要求的限制。应当理解本领域的技术人员在不偏离本发明的范围和精神的情况下,可以对所述实施方案进行改变或变化。
工业适用性
根据本发明,无论CVD中材料处理起来是否复杂或工艺是否受限的条件,都能够沉积厚膜,而不担心包括反应室内壁和喷头的反应室的污染。因此,本发明能够被有效地用作在反应室的内部表面上生长不需要的膜的过程中的解决方案,该过程是由反应性气体的化学反应导致的。由于本发明包括非常简单并且相容的结构,因此本发明的具体部件能够被容易地采用。对于新的适用性,由于本发明有利于生长用于喷墨头的厚度为2-8□的PZT膜,所以喷墨的性能能够大大提高,并且喷墨技术能够更广泛地应用在电子材料如LCD滤色器的沉积中。此外,本发明具有广泛的工业适用性,包括电子部件如多层陶瓷芯片电容器(MLCC)的小型化和高效化。

Claims (3)

1.一种使用喷头的化学气相沉积(CVD)用设备,通过所述喷头,将至少一种反应性气体和吹扫气体注入到位于反应室中的衬底上,以在所述衬底上沉积膜,所述喷头包括:
反应性气体喷头组件,所述反应性气体喷头组件配备有反应气体供给口,以向所述反应气体喷头组件的内部供给所述反应气体,所述反应气体喷头组件具有连接到所述反应性气体喷头组件的底表面的多个反应性气体注入管,以便通过所述反应气体注入管将所述反应性气体注入到所述衬底上;以及
吹扫气体喷头组件,所述吹扫气体喷头组件被布置在所述反应性气体喷头组件下面,配备有吹扫气体供给口,所述吹扫气体供给口用于向所述吹扫气体喷头组件的内部供给所述吹扫气体,使得所述反应气体注入管穿过所述吹扫气体喷头组件的内部,同时保持所述吹扫气体喷头组件与所述反应气体注入组件之间的气密性;以及
用于冷却所述喷头的冷却夹套,所述冷却夹套被安装在所述吹扫气体喷头组件下面,所述冷却夹套包括:顶板、垂直壁、冷却剂入口、冷却剂出口、底部、以及安装在所述冷却夹套的所述顶板和所述底部之间并且被构造为容纳穿过其中的所述反应气体注入管的多个导管,所述导管的内径大于所述反应气体注入管的外径,并且所述导管与所述反应气体注入管之间有间隙;
其中,所述喷头被构造为使得从所述吹扫气体喷头组件释放的所述吹扫气体穿过所述导管与所述反应气体注入管之间的间隙而注入到所述衬底上。
2.如权利要求1所述的使用喷头的化学气相沉积(CVD)用设备,其中,所述冷却夹套进一步包括多个安装在所述冷却夹套的所述顶板和所述底部之间的多个附加导管,使得从所述吹扫气体喷头组件释放的所述吹扫气体穿过所述附加导管的内部而注入到所述衬底上。
3.如权利要求1或2所述的使用喷头的化学气相沉积(CVD)用设备,其中,其中所述反应性气体注入管从所述冷却夹套的底表面向所述衬底延伸的范围在0到10mm以内,并且所述反应性气体注入管的端部末端具有在出口的内径为0.8至2mm的收缩喷嘴的形状。
CN201310090757XA 2006-09-16 2007-02-16 使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法 Pending CN103290389A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR10-2006-0089853 2006-09-16
KR20060089853 2006-09-16
KR10-2006-0124928 2006-12-08
KR20060124928 2006-12-08
KR10-2007-0008668 2007-01-29
KR1020070008668A KR100849929B1 (ko) 2006-09-16 2007-01-29 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2007800343692A Division CN101517704B (zh) 2006-09-16 2007-02-16 使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法

Publications (1)

Publication Number Publication Date
CN103290389A true CN103290389A (zh) 2013-09-11

Family

ID=39413208

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310090757XA Pending CN103290389A (zh) 2006-09-16 2007-02-16 使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法
CN2007800343692A Active CN101517704B (zh) 2006-09-16 2007-02-16 使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2007800343692A Active CN101517704B (zh) 2006-09-16 2007-02-16 使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法

Country Status (5)

Country Link
US (3) US8882913B2 (zh)
JP (2) JP5372757B2 (zh)
KR (1) KR100849929B1 (zh)
CN (2) CN103290389A (zh)
DE (1) DE112007002179B4 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104561935A (zh) * 2013-10-10 2015-04-29 三星显示有限公司 气相沉积设备
CN112349631A (zh) * 2020-11-04 2021-02-09 长江存储科技有限责任公司 一种输气管道、半导体机台
CN113396240A (zh) * 2019-03-11 2021-09-14 应用材料公司 用于基板处理腔室的盖组件设备及方法
CN114277359A (zh) * 2021-12-28 2022-04-05 新美光(苏州)半导体科技有限公司 进气管道、化学气相沉积炉及向其通入前驱体的方法
CN115537765A (zh) * 2022-09-27 2022-12-30 盛吉盛(宁波)半导体科技有限公司 等离子体化学气相沉积装置和小尺寸沟槽填充方法

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
KR101462243B1 (ko) * 2008-05-08 2014-11-20 주식회사 테스 화학기상박막증착장치
JP5662334B2 (ja) * 2008-12-04 2015-01-28 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長用の流入口要素及び化学気相成長方法
WO2010114132A1 (ja) 2009-04-03 2010-10-07 株式会社 キャタラー 排ガス浄化用触媒の製造方法及び装置並びにそれに使用するノズル
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR100944186B1 (ko) * 2009-07-14 2010-02-24 주식회사 시스넥스 화학기상증착 반응기의 가스분사장치
CN102021530A (zh) * 2009-09-11 2011-04-20 甘志银 多重气体耦合金属有机物化学气相沉积设备反应腔体
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR100996210B1 (ko) * 2010-04-12 2010-11-24 세메스 주식회사 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
JP5736291B2 (ja) * 2011-09-28 2015-06-17 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
JP5852402B2 (ja) * 2011-10-21 2016-02-03 スタンレー電気株式会社 気相成長装置及び材料ガス噴出器
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
CN102766854B (zh) * 2012-08-16 2013-06-05 江苏汉莱科技有限公司 一种mocvd新系统
CN102877040A (zh) * 2012-09-06 2013-01-16 绿种子科技(潍坊)有限公司 供气装置及应用该装置的化学气相沉积装置
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102003768B1 (ko) * 2012-11-13 2019-07-26 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
KR101519827B1 (ko) 2012-12-21 2015-05-13 주식회사 테스 가스 분사유닛
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
KR102061749B1 (ko) * 2012-12-27 2020-01-02 주식회사 무한 기판 처리 장치
CN103088413B (zh) * 2013-01-29 2015-11-18 杭州士兰明芯科技有限公司 刻蚀烘烤设备
CN103088412B (zh) * 2013-01-29 2015-11-18 杭州士兰明芯科技有限公司 刻蚀烘烤设备的反应炉
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
CN103320852A (zh) * 2013-06-14 2013-09-25 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
KR101542599B1 (ko) * 2013-06-26 2015-08-06 한국생산기술연구원 확산핀을 가지는 전자소자 제조용 샤워 헤드 및 샤워 헤드 조립체
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
JP6180208B2 (ja) * 2013-07-08 2017-08-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
KR102203098B1 (ko) * 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
KR102329268B1 (ko) * 2013-07-25 2021-11-22 삼성디스플레이 주식회사 기상 증착 장치
JP6338462B2 (ja) 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
KR20150055227A (ko) * 2013-11-13 2015-05-21 삼성전자주식회사 샤워 헤드 및 이를 포함하는 기판 처리 장치
KR102033735B1 (ko) * 2013-11-20 2019-10-17 엘지디스플레이 주식회사 기판 냉각장치 및 이를 포함하는 화학기상 증착장치
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
WO2015122977A1 (en) * 2014-02-11 2015-08-20 Applied Materials, Inc. Extended precursor gas injection method
DE102014207266A1 (de) * 2014-04-15 2015-10-15 Siltronic Ag Verfahren zum Trocknen von scheibenförmigen Substraten undScheibenhalter zur Durchführung des Verfahrens
CN110724938B (zh) * 2014-05-16 2022-02-22 应用材料公司 喷头设计
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
CN104238923B (zh) * 2014-07-29 2019-03-29 京东方科技集团股份有限公司 一种显示设备及其工作方法
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6193284B2 (ja) * 2015-03-18 2017-09-06 株式会社東芝 流路構造、吸排気部材、及び処理装置
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP6550962B2 (ja) * 2015-06-24 2019-07-31 株式会社デンソー 炭化珪素半導体のエピタキシャル成長装置
GB201513339D0 (en) * 2015-07-29 2015-09-09 Pilkington Group Ltd Coating apparatus
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10233543B2 (en) * 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
JP6718730B2 (ja) * 2016-04-19 2020-07-08 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置及び気相成長方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
JP6616258B2 (ja) * 2016-07-26 2019-12-04 株式会社Kokusai Electric 基板処理装置、蓋部カバーおよび半導体装置の製造方法
JP6352993B2 (ja) * 2016-08-10 2018-07-04 株式会社東芝 流路構造及び処理装置
JP6495875B2 (ja) 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6789774B2 (ja) * 2016-11-16 2020-11-25 株式会社ニューフレアテクノロジー 成膜装置
JP6700156B2 (ja) * 2016-11-16 2020-05-27 株式会社ニューフレアテクノロジー 成膜装置
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
KR101922469B1 (ko) * 2017-05-12 2018-11-28 (주)디에스테크노 화학기상증착 저 저항 실리콘 카바이드 벌크 제조 장치
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10712005B2 (en) 2017-07-14 2020-07-14 Goodrich Corporation Ceramic matrix composite manufacturing
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10480065B2 (en) * 2017-09-19 2019-11-19 Goodrich Corporation Gas distribution for chemical vapor deposition/infiltration
US11015247B2 (en) * 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10510553B1 (en) * 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US20200087788A1 (en) * 2018-09-17 2020-03-19 Applied Materials, Inc. Multiple channel showerheads
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR20210089748A (ko) * 2018-12-11 2021-07-16 어플라이드 머티어리얼스, 인코포레이티드 증발 재료를 증착하기 위한 증기 소스, 증기 소스를 위한 노즐, 진공 증착 시스템, 및 증발 재료를 증착하기 위한 방법
CN109457236A (zh) * 2019-01-04 2019-03-12 中晟光电设备(上海)股份有限公司 一种进气顶盘及金属有机物化学气相沉积反应器
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102170451B1 (ko) * 2020-01-22 2020-10-28 (주)이큐테크플러스 프리커서와 반응가스를 함께 분사하는 라디컬 유닛 및 이를 포함하는 ald장치
CN111321463B (zh) 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
CN111501020A (zh) * 2020-06-10 2020-08-07 北京北方华创微电子装备有限公司 半导体设备
EP4261870A1 (en) * 2020-12-14 2023-10-18 NuFlare Technology, Inc. Vapor-phase growth apparatus and vapor-phase growth method
CN114086155B (zh) * 2022-01-18 2022-04-15 北京中科重仪半导体科技有限公司 气体喷头

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US4717049A (en) * 1984-04-05 1988-01-05 Mobil Oil Corporation Droplet generating apparatus
US4584206A (en) * 1984-07-30 1986-04-22 Ppg Industries, Inc. Chemical vapor deposition of a reflective film on the bottom surface of a float glass ribbon
KR880000618B1 (ko) * 1985-12-28 1988-04-18 재단법인 한국화학연구소 초단파 가열 유동상 반응에 의한 고순도 다결정 실리콘의 제조 방법
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JPH0394069A (ja) * 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US5324360A (en) * 1991-05-21 1994-06-28 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device and apparatus therefor
US5556476A (en) 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5571332A (en) * 1995-02-10 1996-11-05 Jet Process Corporation Electron jet vapor deposition system
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6187214B1 (en) * 1996-05-13 2001-02-13 Universidad De Seville Method and device for production of components for microfabrication
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6080446A (en) * 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
JPH1167675A (ja) * 1997-08-21 1999-03-09 Toshiba Ceramics Co Ltd 高速回転気相薄膜形成装置及びそれを用いる高速回転気相薄膜形成方法
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP3595853B2 (ja) 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6623656B2 (en) 1999-10-07 2003-09-23 Advanced Technology Materials, Inc. Source reagent composition for CVD formation of Zr/Hf doped gate dielectric and high dielectric constant metal oxide thin films and method of using same
JP4778655B2 (ja) * 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6221166B1 (en) 2000-06-07 2001-04-24 Simplus Systems Corporation Multi-thermal zone shielding apparatus
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
WO2003034477A1 (en) 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US7229666B2 (en) 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
JP3869778B2 (ja) * 2002-09-11 2007-01-17 エア・ウォーター株式会社 成膜装置
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
CN102414801A (zh) * 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104561935A (zh) * 2013-10-10 2015-04-29 三星显示有限公司 气相沉积设备
CN113396240A (zh) * 2019-03-11 2021-09-14 应用材料公司 用于基板处理腔室的盖组件设备及方法
CN112349631A (zh) * 2020-11-04 2021-02-09 长江存储科技有限责任公司 一种输气管道、半导体机台
CN112349631B (zh) * 2020-11-04 2021-09-10 长江存储科技有限责任公司 一种输气管道、半导体机台
CN114277359A (zh) * 2021-12-28 2022-04-05 新美光(苏州)半导体科技有限公司 进气管道、化学气相沉积炉及向其通入前驱体的方法
CN114277359B (zh) * 2021-12-28 2023-11-28 新美光(苏州)半导体科技有限公司 进气管道、化学气相沉积炉及向其通入前驱体的方法
CN115537765A (zh) * 2022-09-27 2022-12-30 盛吉盛(宁波)半导体科技有限公司 等离子体化学气相沉积装置和小尺寸沟槽填充方法

Also Published As

Publication number Publication date
US20150004313A1 (en) 2015-01-01
KR20080025277A (ko) 2008-03-20
JP5372757B2 (ja) 2013-12-18
JP5738349B2 (ja) 2015-06-24
CN101517704B (zh) 2013-04-17
DE112007002179B4 (de) 2021-06-17
DE112007002179T5 (de) 2009-08-06
US9469900B2 (en) 2016-10-18
US20150000594A1 (en) 2015-01-01
KR100849929B1 (ko) 2008-08-26
CN101517704A (zh) 2009-08-26
US20090169744A1 (en) 2009-07-02
US8882913B2 (en) 2014-11-11
US9476121B2 (en) 2016-10-25
JP2013174023A (ja) 2013-09-05
JP2010503768A (ja) 2010-02-04

Similar Documents

Publication Publication Date Title
CN103290389A (zh) 使用主动调节反应性气体的注入速度的喷头的化学气相沉积设备及其方法
US8298370B2 (en) Apparatus for chemical vapor deposition (CVD) with showerhead
WO2008032910A1 (en) Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
JP2010503768A5 (zh)
KR100749378B1 (ko) 성막 장치
US6805907B2 (en) Method and apparatus for vapor generation and film deposition
US8197601B2 (en) Vaporizer, vaporization module and film forming apparatus
US20060070575A1 (en) Solution-vaporization type CVD apparatus
CN100342496C (zh) 能防止污染并提高膜生长速率的化学气相沉积方法和设备
KR100406176B1 (ko) 샤워헤드 및 이를 이용한 액체 원료 공급 장치
JPH0794426A (ja) Cvd装置
KR100944186B1 (ko) 화학기상증착 반응기의 가스분사장치
JP4880175B2 (ja) 気相成長装置及び気相成長方法
JP2008294147A (ja) 気化装置、及び、気化装置を備えた成膜装置
US6758591B1 (en) Mixing of materials in an integrated circuit manufacturing equipment
KR970077163A (ko) 증착 챔버 및 저유전 막 형성 방법
KR102518932B1 (ko) 탄화규소 증착장치의 mts증착가스 제어시스템
JP4255742B2 (ja) 成膜装置
KR20100032022A (ko) 분사 유닛 및 증착 장치
TW202132604A (zh) 薄膜形成裝置及用於形成薄膜的自由基單元
KR100757708B1 (ko) 가스분리형 샤워헤드를 이용한 usg 증착 장치
KR20090000953A (ko) 증착장비의 기화기
KR20090120309A (ko) 화학 기상 증착 장치
KR20000008107A (ko) 반도체장치 제조용 확산공정설비
KR20000059696A (ko) 챔버에 소스가스를 공급시키기 위한 장치를 갖는 화학기상증착장비

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130911