JP2010503768A - 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法 - Google Patents

反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法 Download PDF

Info

Publication number
JP2010503768A
JP2010503768A JP2009528163A JP2009528163A JP2010503768A JP 2010503768 A JP2010503768 A JP 2010503768A JP 2009528163 A JP2009528163 A JP 2009528163A JP 2009528163 A JP2009528163 A JP 2009528163A JP 2010503768 A JP2010503768 A JP 2010503768A
Authority
JP
Japan
Prior art keywords
gas
reaction gas
reaction
shower head
injection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009528163A
Other languages
English (en)
Other versions
JP5372757B2 (ja
JP2010503768A5 (ja
Inventor
ス ビュン,チュル
チョル ハン,マン
Original Assignee
ピエゾニックス カンパニー リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ピエゾニックス カンパニー リミテッド filed Critical ピエゾニックス カンパニー リミテッド
Priority claimed from PCT/KR2007/000832 external-priority patent/WO2008032910A1/en
Publication of JP2010503768A publication Critical patent/JP2010503768A/ja
Publication of JP2010503768A5 publication Critical patent/JP2010503768A5/ja
Application granted granted Critical
Publication of JP5372757B2 publication Critical patent/JP5372757B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本発明は、様々な種類の反応気体と1種のパージ気体を基板上に供給するシャワーヘッドを備えた化学気相蒸着(CVD)装置および方法に関する。本発明では、複数の反応気体シャワーヘッドモジュールが一つのパージ気体シャワーヘッドモジュール上に置かれる。それぞれの反応気体は、互いに混ぜられていない状態でシャワーヘッドを通過してシャワーヘッドの底面から噴射されるため、前記シャワーヘッドの内部で気相同種反応が起ること、または所望しない粒子沈着が起ることが防止される。また、パージ気体が前記シャワーヘッドの底面から噴射されて保護カーテンを形成するため、反応気体の逆方向への拡散およびシャワーヘッドの底面における粒子沈着も防止される。それぞれの反応気体は不活性気体の一種であるそれぞれの噴射支援気体とシャワーヘッドの内部で混合されるが、それぞれの反応気体の噴射速度は混合される噴射支援気体の単位時間当たりの流量によって積極的に制御される。また、本発明は、反応気体がシャワーヘッドの内部で凝縮および熱分解を起さないように冷却ジャケットを用いてシャワーヘッドを適切な温度に維持する装置および方法を提供する。
【選択図】図15

Description

本発明は、膜の形成される基板上にパージ気体と複数の反応気体を供給して均一な膜の厚さと組成を持たせるシャワーヘッドを備えた化学気相蒸着方法(CVD)および装置に関する。ここで、本発明は米国特許第7,156,921号(“Method and Apparatus for Chemical Vapor Deposition Capable of Preventing Contamination and Enhancing Film Growth Rate”、2002年10月9日出願)に連関されており、この米国特許の内容は本発明に引用されている。
化学気相蒸着(CVD)装置において、反応気体は、真空の反応チャンバに流入してシャワーヘッドを経て基板の置かれたサセプタ(susceptor)、または基板固定部に到達する。しかる後に、反応気体は、基板上で化学反応を起して所望の膜を形成する。化学反応を誘導するエネルギーを供給する方法としては、基板を加熱する方法、あるいはプラズマ状態を作るなどの方式で反応気体を原子的に励起(exciting)させる方法が広く使われる。反応が終わると、副産物として得られる気体は、反応チャンバの出口、真空ポンプを含む排気系統、および適切な浄化装置を順次経由して外部に排出される。ところで、蒸着工程中に反応チャンバの壁やシャワーヘッドなどに所望しない粒子沈着が起らないようにすることは非常に重要なので、反応気体は気体状態で互いに反応を起さないようにすることが好ましい。ところが、金属有機化合物のように略200℃以下の分解温度を有する反応気体が反応チャンバ内で互いに混ぜられると、気体状態で同種反応(homogeneous reaction)を起して汚染粒子を生成するおそれがあり、自らもシャワーヘッドや反応チャンバ壁などの固体状態の表面で異種反応を起して所望しない粒子沈着を生じさせるおそれもある。特に、反応気体が特定の物質に敏感である場合、例えば金属有機化合物の一種であるジルコニウム4ブトキシド(Zirconium tert-butoxide、Zr(OC)は、水分に非常に敏感に反応して白い粉状のジルコニウム水酸化物(Zirconium Hydroxide、Zr(OH))を形成し易い。ところが、水分は、反応チャンバの内部に不純物として物理的に吸着されていることもあるが、基板上で化学反応副産物として水蒸気の形状に生成されることもある。このような水分は、反応チャンバの内壁またはシャワーヘッドの表面でジルコニウム4ブトキシドと反応して水酸化物を沈着させることができる。反復的な熱膨張、収縮、および反応チャンバの内壁等との格子構造の差異によって、沈着された粒子は小さい粒子としてはげて取れる(flake-off)。その結果として、基板上の膜を汚染させるだけでなく、このような沈着粒子を除去するために工程を中断しなければならない周期が短くなって生産性の低下をもたらすおそれがある。
高集積半導体を製造する場合、汚染粒子は主に配線間の短絡または断線によってパターンの不良を起すが、その収率に影響を与える汚染粒子のサイズはパターンの寸法と比例関係にある。よって、パターンの寸法が小さくなるにつれて、すなわち高集積化の度合いが高くなるにつれて、収率に影響を与える粒子のサイズも益々小さくなり、反応チャンバ内で許容される汚染粒子の数もさらに制限される。
図1は米国特許第6,626,998号における従来のシャワーヘッドを概略的に示すが、反応気体間の気相反応を防止するためにそれぞれの反応気体が互いに混ぜられずにシャワーヘッドの内部を通過した後、多数の出口を介して基板上に均一に噴射される。それぞれの反応気体が多数の流入口(zone)17を介して環状の第1の流路(channel)23に供給されると、それぞれの気体は第1の流路23で拡散過程を経た後、それぞれの流路の底面に穿設されている3つまたは4つの流通孔25を介して環状の第2の流路27に伝達される。それぞれの反応気体は、第2の流路27でも拡散過程を経た後、第2の流路の底面に穿設されている、シャワーヘッドの出口に相当する多数の第2の流通孔31を介して基板上に供給される。反応気体は、周囲より温度が高く維持されるサセプタ上に置かれた基板(図示せず)上で化学反応を起して所望の膜を基板上に形成する。
図2は特開2005−129712に開示された従来のシャワーヘッドを概略的に示す断面図である。第1のパージ気体噴射口10bは反応気体噴射口10aを取り囲み、第2のパージ気体噴射口10cは第1のパージ気体噴射口10bの間に適切な間隔で配列されている。この従来の技術において、シャワーヘッドの底面における所望しない粒子沈着は第1および第2のパージ気体の作用によって抑制される。
米国特許第6,626,998号 特開2005−129712
しかし、別途の補完策がなければ、金属有機化合物のように分解温度が低いあるいは水分に敏感な反応気体はシャワーヘッドの底面で所望しない粒子沈着を引き起すおそれがある。図1の従来の技術において、シャワーヘッドの底面から噴射された反応気体は、逆方向に拡散してシャワーヘッドの底面の汚染を生じさせるおそれがある。
図2の従来の技術において、第1および第2のパージ気体噴射口からの噴射速度は第1と第2のパージ気体噴射口の断面積の比率に大きく左右されるが、それぞれの速度を積極的にあるいは最適に調節することはできない。また、前記従来の技術では、「後混合」、すなわち反応気体とパージ気体との混合がシャワーヘッドと基板との間で行われることが、「前混合」、すなわち反応気体とパージ気体との混合が反応チャンバの以前段階で行われることより好ましいと記述している。ところが、不活性気体がパージ気体として使用されると、シャワーヘッドの内部で反応気体とパージ気体とが混合されても、シャワーヘッドの内部で互いに化学反応を起すことが難しい。よって、反応気体とパージ気体との混合がシャワーヘッドと基板との間で行われる「後混合」が化学気相蒸着装置で好ましいという見解は再考されるべきである。また、図2の従来の技術では、多数の反応気体を使用する場合に対する言及はない。もし多数の反応気体を一つに混合してシャワーヘッド内に供給すると、粒子がシャワーヘッドの内部で生成される可能性が非常に高い。
基板上で成長する膜の蒸着挙動は、膜の成分を含む反応気体の質量伝達によって左右される。シャワーヘッドから基板までの反応気体の質量伝達は、対流、拡散、および熱泳動(thermo-phoresis)などの様々な経路を通じて行われる。これらの中でも、対流は比較的取り扱い易い。よって、蒸着しようとする膜で要求される組成と均一度に応じるために反応気体の噴射速度を独立に調節することは非常に効果的な接近方法になれる。ところが、従来の技術では、基板上で成長する膜の均一度を向上させるための方法を提示していない。
CVD方法によって様々な種類の反応気体を用いて所望の膜を蒸着しなければならない必要性が増加しているが、従来のシャワーヘッド装置を使用し続けると、シャワーヘッドに所望しない粒子沈着が発生するか、あるいは基板上で良い品質の膜を得難くなるおそれがある。これはCVD工程の広い応用性を制限する結果をもたらすであろう。
本発明において、それぞれの反応気体がシャワーヘッドを互いに独立に通過して基板上に供給され、パージ気体はシャワーヘッドの底部から噴射されて保護カーテンを形成するが、それぞれの反応気体の噴射速度が外部で積極的に調節されて基板上でそれぞれの反応気体が互いに均一に混合され得るようにするためのシャワーヘッドを備えた化学気相蒸着(CVD)装置およびその方法を提供する。前記シャワーヘッドは、基板を取り囲みながら反応チャンバの底部に一端が延長されている反応気体閉じ込め手段に適用される。
複数の反応気体と複数の噴射支援気体がシャワーヘッドの内部に流入するが、それぞれの反応気体とそれぞれの噴射支援気体がシャワーヘッド内のそれぞれの混合領域で互いに混合される。一方、パージ気体はシャワーヘッド内の別途の隔 室に供給される。その結果、噴射支援気体と混合されたそれぞれの反応気体、およびパージ気体はシャワーヘッドの底部に設けられた多数の反応気体出口と多数のパージ気体出口からそれぞれ噴射され、それぞれの反応気体とパージ気体の噴射速度は積極的に調節される。
シャワーヘッドは互いに分離されている複数の反応気体シャワーヘッドモジュールとパージ気体シャワーヘッドモジュールからなり、反応気体シャワーヘッドモジュールの数量は使用される反応気体の数と同一である。噴射支援気体とシャワーヘッドの内部で混合された反応気体を基板上に噴射するために、多数の反応気体噴射チューブがそれぞれの反応気体シャワーヘッドモジュールの底面に連結される。パージ気体シャワーヘッドモジュールは、複数の反応気体シャワーヘッドモジュールの下に置かれ、多数の案内管がパージ気体シャワーヘッドモジュールの天井と底面に設けられた孔の間に密封挿入され、案内管の内部に沿って反応気体噴射チューブがパージ気体シャワーヘッドモジュールを横切り、パージ気体を噴射するために多数のパージ気体噴射出口がパージ気体シャワーヘッドモジュールの底面に設けられている。案内管は、反応気体シャワーヘッドモジュールにも挿入されるが、上方にある反応気体シャワーヘッドモジュールに連結された反応気体噴射チューブは、それより下方にある反応気体シャワーヘッドモジュールに挿入された案内管の内部に沿って、それより下方にある反応気体シャワーヘッドの内部を横切る。
そして、冷却ジャケットをシャワーヘッドの真下の部分を構成するように設置して、シャワーヘッドの温度を一定に維持し、反応気体がシャワーヘッド内で凝縮または熱分解されないようにする。
上述したように、本発明は、それぞれの反応気体がシャワーヘッドの内部を互いに独立に通過することにより、シャワーヘッドの内部で反応気体が互いに化学反応を起すことを防止するという効果がある。また、本発明は、シャワーヘッドの底面から噴射されるパージ気体が保護カーテンを作って反応気体の逆方向への拡散を防止するという効果がある。また、本発明は、それぞれの反応気体に混合される噴射支援気体の単位時間当たりの流量を調節して各種の反応気体の噴射速度を独立に調節することにより、基板上に蒸着される膜の組成比を容易に変えることができるという効果がある。また、本発明は、シャワーヘッドの真下の部分を構成するように冷却ジャケットを設置してシャワーヘッドの温度を適正の水準に維持することにより、シャワーヘッドの内部と底部で反応気体が熱分解して所望しない膜を形成することを防止するという効果がある。また、本発明を反応気体閉じ込め装置とともにCVDシステムに適用することにより、反応気体閉じ込め装置内部の汚染が抑制されるとともに、反応気体を基板の周囲に閉じ込めて高い蒸着速度を得ることができる。
本発明の技術思想は好適な実施例によって具体的に述べられているが、前述した実施例は、説明するためのもので、限定するものではないことに留意すべきである。また、本発明の技術分野における通常の知識を有する者であれば、本発明の技術思想の範囲内で多様な実施例が可能であることを理解できるであろう。
本発明の前記および他の目的、特徴および利点は添付図面を参照する以降の詳細な説明からより明らかに理解可能である。
互いに異なる反応気体を案内して噴射させる従来のシャワーヘッドを示す概略断面図である。 1種の反応気体が使用されるとき、所望しない粒子沈着がその底面に起ることを防止する従来のシャワーヘッドを示す概略断面図である。 本発明の第1の実施形態に係る、複数の反応気体シャワーヘッドモジュールと1つのパージ気体シャワーヘッドモジュールが垂直方向に順次積層されるシャワーヘッドの透視図である。 本発明の第1の実施形態に係る、1種の反応気体と1種の噴射支援気体との混合が反応気体シャワーヘッドモジュール内の一つの隔室で行われるシャワーヘッドの断面図である。 案内管の一端がパージ気体シャワーヘッドモジュールの底面に密封された状態およびパージ気体出口を示すパージ気体シャワーヘッドモジュールの詳細断面図である。 案内管の一端とパージ気体シャワーヘッドモジュールの底面の孔との間に隙間が存在することを示すパージ気体シャワーヘッドモジュールの詳細断面図である。 本発明の第2の実施形態に係る、反応気体シャワーヘッドモジュールの内部で反応気体と噴射支援気体との混合がより均一に行われるように向上した構造を持つシャワーヘッドの断面図である。 本発明の第3の実施形態に係る、複数の反応気体シャワーヘッドモジュールと一つのパージ気体シャワーヘッドモジュールが垂直方向に順次積層されるが、反応気体と噴射支援気体との混合がシャワーヘッド出口で行われるシャワーヘッドの断面図である。 本発明の第3の実施形態に係る混合部を詳細に示す詳細断面図である。 パージ気体シャワーヘッドモジュールの下に置かれてシャワーヘッドの温度を一定に維持する役割を果たす冷却ジャケットをさらに備えた構造を持つシャワーヘッドの部分断面図である。 本発明のシャワーヘッドにおける、パージ気体シャワーヘッドの底面を貫通して噴射される様々な種類の反応気体と1種のパージ気体の噴射速度を示す概略図である。 本発明のシャワーヘッドにおける、反応気体噴射チューブの列と行が互いに直角で交差するが、隣り合った2列は互いに所定の距離だけ列方向にずれるように配列されることを示す底面図である。 本発明に係る各種の反応気体噴射チューブの位置が円周方向に交互に反復されるように配列されることを示すシャワーヘッドの底面図である。 本発明のシャワーヘッドが反応気体閉じ込め装置に適用される第1の例を示す概略断面図である。 本発明のシャワーヘッドが反応気体閉じ込め装置に適用される第2の例を示す概略断面図である。
本発明で言及するパージ気体は、自らは分解または副産物の生成が難しい。例えば、Ar、N、Heなどがそれである。もしシャワーヘッドの内部で反応気体との化学反応さえ起さなければ、O、Hなどもパージ気体として分類できる。また、これらは原料物として蒸着反応に参与することも可能である。パージ気体は相対的に小さい分子量を有し、反応チャンバ内で迅速に拡散しようとする性質があり、真空系統による強制循環の影響を比較的少なく受ける。
これに対し、反応気体は、熱分解、結合などの化学反応によって膜の形成に直接関与する気体状態の原料物である。反応気体としては、蒸着しようとする膜成分を含む気体状態の主原料物、蒸着しようとする膜成分を含む主原料物を気化させるためのキャリアガスと気化された主原料物との混合気体、またはキャリアガスを利用せず蒸着しようとする膜成分を含む純粋な蒸気状態の主原料物などをいう。主原料物の例としては、PZT(Lead Zirconium-Titanate)膜の蒸着において、Pbの原料物であるPb(C、Zrの原料物であるZr(OC、Tiの原料物であるTi(OCなどを挙げることができ、これらは全て金属有機化合物である。反応気体は、基板、反応チャンバの内壁、シャワーヘッドなどの反応チャンバの内部構造物の全表面で吸着と表面反応を起すことができる。キャリアガスの例としてはAr、N、He、Hなどを挙げることができる。一方、本発明で言及される噴射支援気体は、不活性気体の一種であり、例えばAr、N、Heなどを含む。もしシャワーヘッドの内部で反応気体との化学反応さえ起さなければ、H、Oなども噴射支援気体に含まれ得る。
図3〜図6は本発明の第1の実施形態にウォ示す。図3を参照すると、2つの反応気体シャワーヘッドモジュールと1つのパージ気体シャワーヘッドモジュールからなるシャワーヘッドが順に垂直に置かれる。ところが、2つより多くの反応気体が使用されると、反応気体シャワーヘッドモジュールの数は3つ、4つまたはそれ以上になれる。
図4を参照すると、1種の反応気体と1種の噴射支援気体がそれぞれ反応気体流入口123と噴射支援気体流入口125を介して前記反応気体シャワーヘッドモジュール110の拡散室171と混合室172にそれぞれ流入する。拡散室171は、天井161、上壁163、および第1隔板135から構成されるが、前記拡散室内に入り込んだ反応気体は、前記拡散室内で拡散した後、第1隔板135に穿設されている多数の孔137を介して混合室172に流入する。混合室172は前記第1隔板135、中間壁165、および第2隔板145から構成される。噴射支援気体流入口125を介して混合室172に入り込んだ噴射支援気体は、前記拡散室171から第1隔板135の多数の孔137を介して流入した反応気体と前記混合室172内で混合され、前記第2隔板145の多数の小さい孔147を介して分配室173に流入する。分配室173は前記第2隔板145、下壁167、および底面169から構成されるが、前記混合室172を介して流入した反応気体と噴射支援気体との混合気体は前記分配室173内で分配室の底面169の孔に密封連結された多数の反応気体噴射チューブ151に均等に分配される。図4において、第1隔板135と第2隔板145にそれぞれ穿設されている小さい孔137、147は、拡散によって混合室172内に均一に存在し得るようにするために、直径0.3〜0.6mmの比較的小さいサイズを持つようにすることが好ましい。反応気体噴射チューブ151は多少長い距離、略60mm〜120mmだけ延長されることも可能なので、反応気体噴射チューブ151の内部直径は少なくとも1.5mmであることが勧められる。
前記反応気体噴射チューブ151は、下方に位置した反応気体シャワーヘッドモジュール210の天井261と底面269にその両端がそれぞれ密封挿入されている案内管281の内部に沿って下方の反応気体シャワーヘッドモジュール210を横切る。一方、パージ気体は、パージ気体流入口423を介して、シャワーヘッドの真下に位置するパージ気体シャワーヘッドモジュール410内に流入した後、中間板435に設けられた多数の連結通路437を介して流れながらパージ気体シャワーヘッドモジュール410内で拡散し、底面469に穿設されている多数のパージ気体噴射出口446を介して噴射される。パージ気体出口446の内径が小さいほど、パージ気体シャワーヘッドモジュールの内部における拡散はより均等に行われるが、そのパージ気体出口446の内径は略0.3〜0.6mmが好ましい。ところが、後述する冷却ジャケットをパージ気体シャワーヘッドモジュール410の下に設置する場合、パージ気体噴射出口446は、基板側に所定の長さ(図5において「d」)、例えば3mmの長さで突出した形状を持ってもよい。図5を参照すると、パージ気体シャワーヘッドモジュール410では案内管481の両端がパージ気体シャワーヘッドモジュールの天井461と底面469との間に密封挿入されているが、反応気体シャワーヘッドモジュール110、210から延長されてきた反応気体噴射チューブ151、251は案内管481の内部に沿ってパージ気体シャワーヘッドモジュール410を通過する。ところで、図6に示すように、パージ気体シャワーヘッドモジュール410の底面469における案内管の貫通出口450の内壁と案内管481の外壁との間に所定の隙間(図6において「g」)を置けば、反応気体噴射チューブ151、251の端部に発生しうる汚染に対する防止効果が多少増進するかも知れないが、その構造は複雑になり、パージ気体出口を介して噴射されるパージ気体の量と隙間の間に噴射されるパージ気体の量を独立に調節することも難くなる。そして、反応気体噴射チューブの端部における汚染防止の面では、反応気体噴射チューブから噴射される反応気体による慣性が、隙間の間に流れるパージ気体の影響より大きい可能性もあると思われる。したがって、案内管481の外壁と案内管貫通出口450の内壁との間に隙間がなくてパージ気体がこの隙間を通じて流れないとしても、反応気体噴射チューブの端部における汚染は激しくない可能性もある。ところが、パージ気体噴射出口から噴射されるパージ気体は、シャワーヘッドの底部で保護カーテンを作るに際して依然として重要な役割を果たす。
一方、図7は反応気体シャワーヘッドモジュール内で反応気体と噴射支援気体との混合をより均一且つ盛んに行わせるための本発明の第2の実施形態を示す。第2の実施形態において、反応気体と噴射支援気体はそれぞれ反応気体流入口123と噴射支援気体流入口125を介してそれぞれ反応気体シャワーヘッドモジュール110の反応気体拡散室861と噴射支援気体拡散室862に流入する。反応気体は、多数の反応気体拡散通路865を介して噴射支援気体拡散室862を横切って通過する。ここで、反応気体拡散通路865の一端は反応気体拡散室862の下面835に穿設されている孔と密封連結されることが好ましいが、これは噴射支援気体拡散室862に流入した噴射支援気体の反応気体拡散室861への逆流を防止するためである。レーザー溶接技術は密封連結のために効果的に使用できる。一方、反応気体拡散通路865の別の一端と噴射支援気体拡散室862の下面845に穿設されている孔との間には隙間がないようにすることが勧められる。これはその隙間を介して噴射支援気体が流れないようにするためである。反応気体拡散通路の数は単位平方cm当り0.2〜0.4個であることが好ましく、その内径は0.8〜1.6mmであることが好ましい。これに対し、噴射支援気体は噴射支援気体拡散室862の下面845に別途に穿設されている多数の非常に小さい直径略0.3〜0.6mmの孔847を介して分配室863へ噴射されるようにすることが好ましいが、これは噴射支援気体が分配室863へより均等に噴射されるようにするためである。しかる後に、分配室863では、噴射支援気体と混合された反応気体が多数の反応気体噴射チューブ151に均等に分配される。
図8は本発明の第3の実施形態を示す。前述した第1および第2の実施形態と第3の実施形態との主要差異点は、シャワーヘッド内における、反応気体と噴射支援気体とが混合される混合部の位置である。第3の実施形態では、反応気体が流入口713を介して反応気体分配室711に入り込み、底面719に連結されている多数の内側反応気体噴射チューブ751に均等に分配される。噴射支援気体は、流入口723を介して噴射支援気体分配室712に流入し、底面729に連結されている多数の外側反応気体噴射チューブ752に均等に分配される。内側反応気体噴射チューブ751は、外側反応気体噴射チューブ752によって取り囲まれたままで延長され、最終的にパージ気体シャワーヘッドモジュール案内管781に沿ってパージ気体シャワーヘッドモジュール780を横切るが、内側反応気体噴射チューブ751の端部は外側反応気体噴射チューブ752の端部より5〜10mm短い。反応気体分配室711と噴射支援気体分配室712は、Oリング754とボルト799によって互いに密封連結される。内側反応気体噴射チューブと外側反応気体噴射チューブは一対となって反応気体噴射チューブを構成し、図9の詳細断面図に示すように、内側反応気体噴射チューブ751の端部と外側反応気体噴射チューブ752の端部との間の混合部777で反応気体と噴射支援気体との混合が行われる。
図10は冷却ジャケット510がパージ気体シャワーヘッドモジュール410の下に置かれている構造を示している。冷却ジャケット510は、シャワーヘッドの温度を一定に、例えば150〜200°Cに維持するためのものである。そして、冷却材流入口523を介して冷却ジャケット510内に注入された冷却材は、冷却ジャケット510の内部空間を経た後、最終的に反応チャンバ(図示せず)を通り抜ける。冷却材としては、圧縮空気、冷水などのいずれのものを用いても構わないが、冷却材が反応チャンバ側に漏れないようにすることはいくら強調しても強調しすぎることはない。シャワーヘッドの表面の適切な箇所に熱電対(図示せず)を設置することは、対象物の温度調節のための日常的な方法なので、これに関連した詳細な説明を省略する。本発明でシャワーヘッドを冷却する理由は、シャワーヘッドの内部温度があまり上がることにより反応気体が熱分解によってシャワーヘッドの内部およびパージ気体シャワーヘッドモジュールの底面に所望しない蒸着を生じさせることを防止するためである。
図11に示すように、本発明の技術において、反応気体と噴射支援気体との混合物は、反応気体噴射チューブ151、251の端部から基板(図示せず)に向かって噴射される。反応気体噴射チューブ151、251の端部は窄まったノズルの形状を有することが好ましいが、これは反応気体噴射チューブを当該案内管に挿入することをより容易にし、反応気体噴射チューブの端部から噴射される反応気体の噴射速度を増加させることにより、シャワーヘッドの底面479における汚染防止をより効果的にするためである。図11で「d」に示された反応気体噴射チューブにおけるノズルの端部は0.8〜2.0mmの直径を持てば好ましい。これと共に、反応気体噴射チューブ151、251がシャワーヘッド410の底面479から基板側に延長されると、シャワーヘッドの底面における汚染防止はより著しくなるが、反応気体噴射チューブ151、251の端部の温度があまり上昇するおそれもあるので、折衷が必要であるが、その突出距離は10mm以内であれば好ましい。
本発明の技術において、様々な種類の反応気体が使用される場合、各反応気体の噴射速度は流入する反応気体の単位時間当たりの流量に影響を及ぼさずに噴射支援気体の単位時間当たりの流量のみによって積極的に調節できる。図11に示すように、反応気体Aの噴射速度Vは反応気体Bの噴射速度Vよりも大きくすることができる。その結果、各反応気体の物質伝達の度合いを調節することにより、基板上で成長する膜の組成を比較的自由に調節することができる。これに加えて、パージ気体出口446を介して噴射されるパージ気体の単位時間当たりの流量も独立に調節できる。
1種の反応気体と別の種類の反応気体は、シャワーヘッドからそれぞれ噴射される前には互いに混合できず、使用された全ての種類の反応気体とパージ気体はシャワーヘッドモジュール410の底面479と基板との間で互いに混合される。シャワーヘッドモジュール410の底面479と基板間の距離は、基板上における膜の蒸着速度と組成の均一度を全て満足し得るように、20〜60mmであることが好ましい。
反応気体噴射チューブの密度は、基板上で蒸着される膜の均一度に直接的な影響を及ぼすが、1種の反応気体に対して単位平方cm当り略0.2〜0.4個が好ましいものと思われる。そして、反応気体噴射チューブが設けられている範囲、すなわちシャワーヘッドの底面の大きさは、基板の大きさよりは多少大きいことが要求される。150mmサイズの基板に対して考慮すると、シャワーヘッドの底面の有効サイズは直径略200mmであり、反応気体噴射チューブの数は1種当り60〜120個であれば好ましい。反応ガス噴射チューブの配置については、反応気体の均一な拡散が確実になる配置 であれば、どのような特定のパターンを持っても良いし、あるいはランダムであっても良い。そして、それぞれ「A」、「B」、「C」に示される3つの反応気体が使用される場合、図12は反応気体チューブの列と行が互いに直角で交差するが、隣り合った2列は互いに所定の距離だけ列方向にずれるように配列されることを示す底面図、図13は円周方向に「A」、「B」、「C」が交互に反復されるように反応気体噴射チューブが配列されることを示す底面図である。
図14は本発明に係るシャワーヘッド100を反応気体閉じ込め装置900に適用した一例を示す。ここで、反応気体閉じ込め装置900とは、反応チャンバ1の内壁7と天井3から十分な距離をおいて離れており、基板9を天井のあるドーム状に取り囲み、一端が反応チャンバの底部961と当接しており、その表面に多数の微細孔が設けられており、反応気体閉じ込め装置の天井の中心部の一部を開放し、本発明で考案したシャワーヘッド100の縁部が開放部分に沿って架かるようにして、シャワーヘッド100の底面と基板9とが平行に向かい合うようにする装置のことをいう。反応気体閉じ込め装置900に対する詳細は米国特許第7,156,921号に開示されているので、これを参照すれば良いであろう。
図14に示すように、2種の反応気体、2種の噴射支援気体、および第1のパージ気体がそれぞれ反応気体供給チューブ954A、954B、噴射支援気体供給チューブ955A、955B、および第1のパージ気体供給チューブ956を介してシャワーヘッドモジュール100内に流入する。冷却材は、冷却材供給チューブ961を介してシャワーヘッド100内に流入し、冷却材回収チューブ962を経て反応チャンバ1の外に出る。そして、第2のパージ気体は、第2のパージ気体供給ポート957を介して、反応チャンバの内壁7と反応気体閉じ込め装置900との間に設けられている空間970に流入する。すると、反応気体閉じ込め装置900の外側から内側に流れる込む第2のパージ気体の保護カーテン効果によって、反応気体閉じ込め装置900はその内面に所望しない粒子沈着が起ることを防止する役割を果たす。また、シャワーヘッドの底面における汚染は前述したシャワーヘッドモジュールの構成と役割によって抑制される。これに加えて、反応気体を基板の付近に閉じ込める第2のパージ気体の役割によって、基板上に蒸着される膜の蒸着速度を向上させることができる。
図15は本発明に係るシャワーヘッド100を別の形態の反応気体閉じ込め装置900に適用したことを示す。ここで、反応気体閉じ込め装置900は、平らな縁部を有する天井を備える。平らな縁部を有する天井は、反応チャンバ1の内壁に突出した突部966に容易に位置付けることができる。また、反応気体閉じ込め装置の天井と垂直壁912との間に隙間967を作り易いので、この隙間967を介して基板9の出入りを行うことができる。ステージに密封連結されたベローズ968に連動している例えばレバー(図示せず)などの装置によって反応気体閉じ込め装置の垂直壁912を下げて反応気体閉じ込め装置の天井と反応気体閉じ込め装置の垂直壁912との間に隙間967を作った後、トランスファチャンバ(図示せず)から反応チャンバ1内に、基板9を持ち上げているロボットアーム(図示せず)をゲート弁930と隙間967を介して取り込み、しかる後にステージ935を上げたり下げたりすれば、基板9の出入りが容易に行われ得る。
本発明の技術思想は前記好適な実施例によって具体的に述べられたが、前述した実施例は、説明するためのもので、限定するものではないことに留意すべきである。また、本発明の技術分野における通常の知識を有する者であれば、本発明の技術思想の範囲内で多様な実施例が可能であることを理解できるであろう。
本発明によれば、原料の取り扱いが複雑であろうと、工程がCVDに限定されるであろうとその条件に関係なく、厚い膜を、反応チャンバの内壁とシャワーヘッドを含む反応チャンバの汚染への懸念なしに蒸着できる。したがって、本発明は、反応ガスの化学反応によって反応チャンバの内部の表面上に所望しない膜が成長する場合の工程上の解決方法として効果的に使用できる。本発明は非常に簡単且つ互換可能な構造から構成されるため、本発明の詳細な部分は容易に採用できる。産業的応用において、本発明は、LEDまたは太陽電池の製造の際に厳しい組成比の調節が要求される化合物半導体のエピ層を蒸着しようとするとき、LCDカラーフィルタの形成といった産業用インクジェットプリンティング技術分野でプリンタヘッド物質としてのPZTなどの物質を反応チャンバまたはシャワーヘッドの汚染なしで2〜8μmの厚さに厚く蒸着しようとするとき、および多層セラミックチップコンデンサ(MLCC)などで誘電体膜を高速で蒸着しようとするときに非常に有用に使用できる。
図10は冷却ジャケット510がパージ気体シャワーヘッドモジュール410の下に置かれている構造を示している。冷却ジャケット510は、シャワーヘッドの温度を一定に、例えば150〜200°Cに維持するためのものである。冷却ジャケット510は上部プレート561、壁563、および下部プレート569から成り、反応気体噴射チューブ151、251の複数の案内管582、およびパージ気体の流れのための複数の案内管581が上部プレート561および下部プレート569の端部に密封連結される。そして、冷却材流入口523を介して冷却ジャケット510内に注入された冷却材は、冷却ジャケット510の内部空間と冷却材出力ポート(525)を経た後、最終的に反応チャンバ(図示せず)を通り抜ける。冷却材としては、圧縮空気、冷水などのいずれのものを用いても構わないが、冷却材が反応チャンバ側に漏れないようにすることはいくら強調しても強調しすぎることはない。シャワーヘッドの表面の適切な箇所に熱電対(図示せず)を設置することは、対象物の温度調節のための日常的な方法なので、これに関連した詳細な説明を省略する。本発明でシャワーヘッドを冷却する理由は、シャワーヘッドの内部温度があまり上がることにより反応気体が熱分解によってシャワーヘッドの内部およびパージ気体シャワーヘッドモジュールの底面に所望しない蒸着を生じさせることを防止するためである。
図15は本発明に係るシャワーヘッド100を別の形態の反応気体閉じ込め装置900に適用したことを示す。ここで、反応気体閉じ込め装置900は、平らな縁部を有する天井を備える。平らな縁部を有する天井(911)は、反応チャンバ1の内壁に突出した突部966に容易に位置付けることができる。また、反応気体閉じ込め装置の天井と垂直壁912との間に隙間967を作り易いので、この隙間967を介して基板9の出入りを行うことができる。ステージに密封連結されたベローズ968に連動している例えばレバー(図示せず)などの装置によって反応気体閉じ込め装置の垂直壁912を下げて反応気体閉じ込め装置の天井と反応気体閉じ込め装置の垂直壁912との間に隙間967を作った後、トランスファチャンバ(図示せず)から反応チャンバ1内に、基板9を持ち上げているロボットアーム(図示せず)をゲート弁930と隙間967を介して取り込み、しかる後にステージ935を上げたり下げたりすれば、基板9の出入りが容易に行われ得る。
本発明の技術思想は前記好適な実施例によって具体的に述べられたが、前述した実施例は、説明するためのもので、限定するものではないことに留意すべきである。また、本発明の技術分野における通常の知識を有する者であれば、本発明の技術思想の範囲内で多様な実施例が可能であることを理解できるであろう。
前記反応気体噴射チューブ151は、下方に位置した反応気体シャワーヘッドモジュール210の天井261と底面269にその両端がそれぞれ密封挿入されている案内管281の内部に沿って下方の反応気体シャワーヘッドモジュール210を横切る。一方、パージ気体は、パージ気体流入口423を介して、シャワーヘッドの真下に位置するパージ気体シャワーヘッドモジュール410内に流入した後、中間板435に設けられた多数の連結通路437を介して流れながらパージ気体シャワーヘッドモジュール410内で拡散し、底面469に穿設されている多数のパージ気体噴射出口446を介して噴射される。パージ気体出口446の内径が小さいほど、パージ気体シャワーヘッドモジュールの内部における拡散はより均等に行われるが、そのパージ気体出口446の内径は略0.3〜0.6mmが好ましい。パージ気体噴射出口446は、基板側に所定の長さ(図5において「d」)、例えば3mmの長さで突出した形状を持ってもよい。図5を参照すると、パージ気体シャワーヘッドモジュール410では案内管481の両端がパージ気体シャワーヘッドモジュールの天井461と底面469との間に密封挿入されているが、反応気体シャワーヘッドモジュール110、210から延長されてきた反応気体噴射チューブ151、251は案内管481の内部に沿ってパージ気体シャワーヘッドモジュール410を通過する。勿論、反応気体シャワーヘッドモジュールとパージ気体シャワーヘッドモジュールの設置順序にはバリエーションがある。即ち、パージ気体シャワーヘッドモジュールを反応気体シャワーヘッドモジュールの最上位置又は中間に設置してもよい。パージ気体が最低位置に設置される場合、図6に示すように、パージ気体シャワーヘッドモジュール410の底面469における案内管の貫通出口450の内壁と案内管481の外壁との間に所定の隙間(図6において「g」)を置けば、反応気体噴射チューブ151、251の端部に発生しうる汚染に対する防止効果が増進するが、その構造は複雑になり、パージ気体出口を介して噴射されるパージ気体の量と隙間の間に噴射されるパージ気体の量を独立に調節することも難くなる。そして、反応気体噴射チューブの端部における汚染防止の面では、反応気体噴射チューブから噴射される反応気体による慣性が、隙間の間に流れるパージ気体の影響より大きい可能性もあると思われる。したがって、案内管481の外壁と案内管貫通出口450の内壁との間に隙間がなくてパージ気体がこの隙間を通じて流れないとしても、反応気体噴射チューブの端部における汚染は激しくない可能性もある。ところが、パージ気体噴射出口から噴射されるパージ気体は、シャワーヘッドの底部で保護カーテンを作るに際して依然として重要な役割を果たす。

Claims (17)

  1. 反応チャンバ内に置かれている基板上に膜を蒸着するために少なくとも1種の反応気体および1種のパージ気体をシャワーヘッドを介して基板上に供給する化学気相蒸着方法において、
    前記シャワーヘッドの底面が前記基板から所定の距離だけ離隔するようにシャワーヘッドを配置する配置段階と、
    前記反応気体、および前記反応気体の噴射速度を調節するための噴射支援気体を前記シャワーヘッド内に注入するが、それぞれの反応気体はシャワーヘッド内部のそれぞれの隔室でそれぞれの噴射支援気体と互いに混合され、前記パージ気体は前記シャワーヘッド内の別の隔室に充填されるように注入する注入段階と、
    前記反応気体と前記噴射支援気体との混合気体および前記パージ気体をそれぞれ前記シャワーヘッドの底面に設けられた多数の反応気体噴射出口およびパージ気体噴射出口を介して排出させる排出段階とを含んでなることを特徴とする、シャワーヘッドを用いた化学気相蒸着方法。
  2. 前記反応気体に混合される噴射支援気体の単位時間当たりの流量は、積極的に、または独立に調節されることを特徴とする、請求項1に記載のシャワーヘッドを用いた化学気相蒸着方法。
  3. 前記パージ気体および前記噴射支援気体は、それぞれAr、N、He、HおよびOよりなる群から選ばれることを特徴とする、請求項1に記載のシャワーヘッドを用いた化学気相蒸着方法。
  4. 前記反応気体は、気体状態の金属有機化合物であることを特徴とする、請求項1に記載のシャワーヘッドを用いた化学気相蒸着方法。
  5. 冷却ジャケットが前記シャワーヘッドの真下の部分を構成するようにし、前記冷却ジャケットに冷却材を注入して前記シャワーヘッドを冷却する冷却段階をさらに含むことを特徴とする、請求項1に記載のシャワーヘッドを用いた化学気相蒸着方法。
  6. 反応チャンバ内に置かれている基板上に膜を蒸着させるために、少なくとも1種の反応気体と1種のパージ気体をシャワーヘッドを介して基板上に供給する化学気相蒸着装置において、
    前記シャワーヘッドは、
    前記反応気体の数量と同一の数量であり、互いに隔離され、その内部で1種の反応気体と、前記反応気体の噴射速度を調節するための1種の噴射支援気体とが混合され、混合された気体を基板上に噴射するための多数の反応気体噴射チューブをその底面に備えた複数の反応気体シャワーヘッドモジュールと、
    前記複数の反応気体シャワーヘッドモジュールの下側に設置され、パージ気体がパージ気体流入口を介して内部に流入して充填され、充填された前記パージ気体を基板上に噴射するために基板側に3mm以内の範囲で突出した多数の出口を前記パージ気体シャワーヘッドモジュールの底面に備えた一つのパージ気体シャワーヘッドモジュールとを含んでなるが、
    前記反応気体シャワーヘッドモジュールに備えられた反応気体噴射チューブが前記反応気体シャワーヘッドモジュールより下方にある反応気体シャワーヘッドモジュールの内部を通過することができるように、前記反応気体シャワーヘッドモジュールより下方にある反応気体シャワーヘッドモジュールの天井と底面に設けられる孔にその両端が密封挿入される案内管を備え、且つ前記パージ気体シャワーヘッドモジュールの天井と底面に設けられる孔にその両端が密封挿入される案内管を備えることにより、前記反応気体噴射チューブが前記パージ気体シャワーヘッドモジュールの案内管の内部に沿って前記パージ気体シャワーヘッドモジュールの内部を横切ることができるようにすることを特徴とする、シャワーヘッドを備えた化学気相蒸着装置。
  7. 前記反応気体シャワーヘッドモジュールは、
    反応気体が反応気体流入口を介して供給されて均一に広がる拡散室と、
    噴射支援気体が噴射支援気体流入口を介して供給された後、前記拡散室から流入する前記反応気体と混合される混合室と、
    前記反応気体と前記噴射支援気体との混合気体を前記反応気体噴射チューブに均等に分配するための分配室とを含んでなるが、
    前記拡散室と前記混合室とは多数の孔が設けられた隔膜を境界面として連結され、前記混合室と前記分配室とは多数の孔が設けられた別の隔膜を境界面として連結されることを特徴とする、請求項6に記載のシャワーヘッドを備えた化学気相蒸着装置。
  8. 前記反応気体シャワーヘッドモジュールは、
    反応気体が反応気体流入口を介して供給されて均一に広がる反応気体拡散室と、
    前記反応気体拡散室に自分の天井を境界として連結され、噴射支援気体が噴射支援気体流入口を介して供給されて均一に広がる噴射支援気体拡散室と、
    前記噴射支援気体拡散室の下面を境界として前記噴射支援気体拡散室に連結され、前記反応気体噴射チューブが自分の底部に取り付けられた分配室と、
    前記噴射支援気体拡散室の天井と下面に両端が密封連結される多数の内径0.5〜1.5mmの反応気体拡散通路とを含んでなるが、
    前記反応気体は前記反応気体拡散室から前記反応気体拡散通路を介して前記噴射支援気体拡散室を横切って前記分配室に噴射され、前記噴射支援気体は前記噴射支援気体拡散室の下面に設けられている多数の直径0.3〜0.6mmの孔を介して前記分配室に流入することにより、前記分配室で前記噴射支援気体と混合された反応気体が前記反応気体噴射チューブに均等に配分されることを特徴とする、請求項6に記載のシャワーヘッドを備えた化学気相蒸着装置。
  9. 前記反応気体シャワーヘッドモジュールは、
    反応気体が反応気体流入口から流入し、その内部の隔膜に設けられている多数の孔を介して流れながら均等に拡散した後、その底面に連結されている多数の内側反応気体噴射チューブに均等に分配される反応気体分配室と、
    噴射支援気体が噴射支援気体流入口から流入し、その内部の隔膜に設けられている多数の孔を介して流れながら均等に拡散した後、その底面に連結されている多数の外側反応気体噴射チューブに均等に分配される噴射支援気体分配室とを含んでなり、
    前記反応気体噴射チューブは前記内側反応気体噴射チューブと前記外側反応気体噴射チューブとが1対を成し、前記内側反応気体噴射チューブは前記噴射支援気体分配室を横切って延長されながら前記外側反応気体噴射チューブによって取り囲まれ、前記内側反応気体噴射チューブの端部は前記外側反応気体噴射チューブの端部より5〜10mmだけ短く、前記内側反応気体噴射チューブを介して伝達される反応気体と、前記内側反応気体噴射チューブと前記外側反応気体噴射チューブとの間の隙間領域を介して伝達される噴射支援気体との混合が、前記内側反応気体噴射チューブの端部と前記外側反応気体噴射チューブの端部との間の空間で行われることを特徴とする、請求項6に記載のシャワーヘッドを備えた化学気相蒸着装置。
  10. 前記パージ気体シャワーヘッドモジュールの下に置かれ、シャワーヘッドを冷却する冷却ジャケットをさらに含んでなることを特徴とする、請求項6〜9のいずれか1項に記載のシャワーヘッドを備えた化学気相蒸着装置。
  11. 前記冷却ジャケットは、
    天井、冷却材の出入りのための流入口および流出口が設置された垂直壁、並びに底面と、
    前記パージ気体出口を受け入れるために、前記冷却ジャケットの天井と底面との間に密封設置される多数の案内管と、
    前記反応気体噴射チューブを通過させるために、前記冷却ジャケットの天井と底面との間に密封設置される多数の案内管とを含んでなるが、
    前記反応気体噴射チューブは前記冷却ジャケットの底面から基板側に10mm以内の範囲で突出し、前記反応気体噴射チューブの端部は窄まったノズルの形状を有し、前記ノズルの出口の内径は0.8〜2mmであることを特徴とする、請求項10に記載のシャワーヘッドを備えた化学気相蒸着装置。
  12. 前記反応気体噴射チューブは、その列と行が互いに直角で交差するが、隣り合った2列は所定の距離だけ列方向にずれるように配列されることを特徴とする、請求項6〜9のいずれか1項に記載のシャワーヘッドを備えた化学気相蒸着装置。
  13. 前記反応気体噴射チューブは、各種の反応気体噴射チューブの位置が円周方向に交互に反復されるように配列されることを特徴とする、請求項6〜9のいずれか1項に記載のシャワーヘッドを備えた化学気相蒸着装置。
  14. サセプタを取り囲み、前記反応チャンバの内部に位置し、壁と天井からなり、内側と外側とが互いに連通し得るように表面に多数の孔が設けられており、前記壁と前記天井はそれぞれ反応チャンバの壁と天井から十分な距離だけ離れており、前記壁は反応チャンバの底部まで延長されていることにより、前記天井と前記反応チャンバの天井との間に所定の厚さの空間が設けられる反応気体閉じ込め装置と、
    第2のパージ気体が外部から前記反応チャンバと前記反応気体閉じ込め装置との間の前記空間に供給できるように、前記反応チャンバの天井に設けられる第2のパージ気体供給ポートと、
    反応チャンバの内部で生成される副産物を排出させるために、前記反応気体閉じ込め装置の内部に設置される排気口とをさらに含んでなるが、
    前記第2のパージ気体供給ポートを介して供給される第2のパージ気体が、前記反応気体閉じ込め装置の表面に設けられている孔を介して前記反応気体閉じ込め装置の内部に流入し、その流入する時間当たりの流量は独立に調節されることにより、前記反応気体閉じ込め装置の汚染を防ぎ、基板の付近で反応気体の濃度を増大させて、基板上で成長する膜の成長速度を高める役割を果たすことを特徴とする、請求項6〜9のいずれか1項に記載のシャワーヘッドを備えた化学気相蒸着装置。
  15. 前記サセプタを取り囲み、前記反応チャンバの内部に位置し、壁と天井からなり、内側と外側とが互いに連通し得るように表面に多数の孔が設けられており、前記壁と前記天井はそれぞれ反応チャンバの壁と天井から十分な距離だけ離れており、前記壁は反応チャンバの底部まで延長されていることにより、前記天井と前記反応チャンバの天井との間に所定の厚さの空間が設けられる反応気体閉じ込め装置と、
    第2のパージ気体が外部から前記反応チャンバと前記反応気体閉じ込め装置との間の前記空間に供給できるように、前記反応チャンバの天井に設けられる第2のパージ気体供給ポートと、
    反応チャンバの内部で生成される副産物を排出させるために、前記反応気体閉じ込め装置の内部に設置される排気口とをさらに含んでなるが、
    前記第2のパージ気体供給ポートを介して供給される第2のパージ気体が、前記反応気体閉じ込め装置の表面に設けられている孔を介して前記反応気体閉じ込め装置の内部に流入し、その流入する時間当たりの流量は独立に調節されることにより、前記反応気体閉じ込め装置の汚染を防ぎ、基板の付近で反応気体の濃度を増大させて、基板上で成長する膜の成長速度を高める役割を果たすことを特徴とする、請求項10に記載のシャワーヘッドを備えた化学気相蒸着装置。
  16. 前記サセプタを取り囲み、前記反応チャンバの内部に位置し、垂直壁と平らな縁部付き天井からなり、内側と外側とが互いに連通し得るように表面に多数の孔が設けられており、前記垂直壁と前記天井はそれぞれ反応チャンバの壁と天井から十分な距離だけ離れており、前記垂直壁の一端は前記反応チャンバの底部まで延長されており、前記天井と前記反応チャンバの天井との間には所定の厚さの空間が設けられ、前記垂直壁を下降させて前記垂直壁と前記天井との間に隙間を設けることが可能な反応気体閉じ込め装置と、
    第2のパージ気体が外部から前記反応チャンバと前記反応気体閉じ込め装置との間の前記空間に供給できるように、前記反応チャンバの壁に設けられる第2のパージ気体供給ポートと、
    反応チャンバの内部で生成される副産物を排出させるために、前記反応気体閉じ込め装置の内部に設置される排気口とをさらに含んでなるが、
    前記第2のパージ気体供給ポートを介して供給される第2のパージ気体が、前記反応気体閉じ込め装置の表面に設けられている前記孔を介して前記反応気体閉じ込め装置の内部に流入し、その流入する時間当たりの流量は独立に調節されることにより、前記反応気体閉じ込め装置の汚染を防ぎ、基板の付近で反応気体の濃度を増大させて、基板上で成長する膜の成長速度を高める役割を果たすことを特徴とする、請求項6〜9のいずれか1項に記載のシャワーヘッドを備えた化学気相蒸着装置。
  17. 前記サセプタを取り囲み、前記反応チャンバの内部に位置し、垂直壁と平らな縁部付き天井からなり、内側と外側とが互いに連通し得るように表面に多数の孔が設けられており、前記垂直壁と前記天井はそれぞれ反応チャンバの壁と天井から十分な距離だけ離れており、前記垂直壁の一端は反応チャンバの底部まで延長されており、前記天井と前記反応チャンバの天井との間には所定の厚さの空間が設けられ、前記垂直壁を下降させて前記垂直壁と前記天井との間に隙間を設けることが可能な反応気体閉じ込め装置と、
    第2のパージ気体が外部から前記反応チャンバと前記反応気体閉じ込め装置との間の前記空間に供給できるように、前記反応チャンバの壁に設けられる第2のパージ気体供給ポートと、
    反応チャンバの内部で生成される副産物を排出させるために、前記反応気体閉じ込め装置の内部に設置される排気口とをさらに含んでなるが、
    前記第2のパージ気体供給ポートを介して供給される第2のパージ気体が、前記反応気体閉じ込め装置の表面に設けられている前記孔を介して前記反応気体閉じ込め装置の内部に流入し、その流入する時間当たりの流量は独立に調節されることにより、前記反応気体閉じ込め装置の汚染を防ぎ、基板の付近で反応気体の濃度を増大させて、基板上で成長する膜の成長速度を高める役割を果たすことを特徴とする、請求項10に記載のシャワーヘッドを備えた化学気相蒸着装置。
JP2009528163A 2006-09-16 2007-02-16 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法 Active JP5372757B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
KR20060089853 2006-09-16
KR10-2006-0089853 2006-09-16
KR10-2006-0124928 2006-12-08
KR20060124928 2006-12-08
KR1020070008668A KR100849929B1 (ko) 2006-09-16 2007-01-29 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
KR10-2007-0008668 2007-01-29
PCT/KR2007/000832 WO2008032910A1 (en) 2006-09-16 2007-02-16 Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013113202A Division JP5738349B2 (ja) 2006-09-16 2013-05-29 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法

Publications (3)

Publication Number Publication Date
JP2010503768A true JP2010503768A (ja) 2010-02-04
JP2010503768A5 JP2010503768A5 (ja) 2010-07-15
JP5372757B2 JP5372757B2 (ja) 2013-12-18

Family

ID=39413208

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009528163A Active JP5372757B2 (ja) 2006-09-16 2007-02-16 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法
JP2013113202A Active JP5738349B2 (ja) 2006-09-16 2013-05-29 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013113202A Active JP5738349B2 (ja) 2006-09-16 2013-05-29 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法

Country Status (5)

Country Link
US (3) US8882913B2 (ja)
JP (2) JP5372757B2 (ja)
KR (1) KR100849929B1 (ja)
CN (2) CN103290389A (ja)
DE (1) DE112007002179B4 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013074213A (ja) * 2011-09-28 2013-04-22 Nuflare Technology Inc 成膜装置および成膜方法
JP2013087038A (ja) * 2011-10-21 2013-05-13 Stanley Electric Co Ltd 気相成長装置及び材料ガス噴出器
JP2013239707A (ja) * 2012-05-11 2013-11-28 Advanced Micro Fabrication Equipment Inc Shanghai ガスシャワーヘッド、その製造方法及び薄膜成長反応装置
JP2015015430A (ja) * 2013-07-08 2015-01-22 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
KR20160052336A (ko) * 2014-10-29 2016-05-12 도쿄엘렉트론가부시키가이샤 성막 장치 및 샤워 헤드
JP2017011182A (ja) * 2015-06-24 2017-01-12 株式会社デンソー 炭化珪素半導体のエピタキシャル成長装置
JP2018026482A (ja) * 2016-08-10 2018-02-15 株式会社東芝 流路構造及び処理装置
KR102062895B1 (ko) 2016-09-12 2020-01-06 가부시끼가이샤 도시바 유로 구조 및 처리 장치
JP2022512348A (ja) * 2018-12-11 2022-02-03 アプライド マテリアルズ インコーポレイテッド 蒸発材料を堆積するための蒸気源、蒸気源のためのノズル、真空堆積システム、及び蒸発材料を堆積するための方法

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
KR101462243B1 (ko) * 2008-05-08 2014-11-20 주식회사 테스 화학기상박막증착장치
CN103352206B (zh) * 2008-12-04 2015-09-16 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
EP3354340A1 (en) 2009-04-03 2018-08-01 Cataler Corporation Method and apparatus of manufacturing exhaust gas-purifying catalyst and nozzle used therefor
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR100944186B1 (ko) * 2009-07-14 2010-02-24 주식회사 시스넥스 화학기상증착 반응기의 가스분사장치
CN102021530A (zh) * 2009-09-11 2011-04-20 甘志银 多重气体耦合金属有机物化学气相沉积设备反应腔体
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR100996210B1 (ko) * 2010-04-12 2010-11-24 세메스 주식회사 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
CN102766854B (zh) * 2012-08-16 2013-06-05 江苏汉莱科技有限公司 一种mocvd新系统
CN102877040A (zh) * 2012-09-06 2013-01-16 绿种子科技(潍坊)有限公司 供气装置及应用该装置的化学气相沉积装置
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102003768B1 (ko) * 2012-11-13 2019-07-26 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
KR101519827B1 (ko) 2012-12-21 2015-05-13 주식회사 테스 가스 분사유닛
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
KR102061749B1 (ko) * 2012-12-27 2020-01-02 주식회사 무한 기판 처리 장치
CN103088413B (zh) * 2013-01-29 2015-11-18 杭州士兰明芯科技有限公司 刻蚀烘烤设备
CN103088412B (zh) * 2013-01-29 2015-11-18 杭州士兰明芯科技有限公司 刻蚀烘烤设备的反应炉
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
CN103320852A (zh) * 2013-06-14 2013-09-25 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
KR101542599B1 (ko) * 2013-06-26 2015-08-06 한국생산기술연구원 확산핀을 가지는 전자소자 제조용 샤워 헤드 및 샤워 헤드 조립체
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
KR102329268B1 (ko) * 2013-07-25 2021-11-22 삼성디스플레이 주식회사 기상 증착 장치
KR102203098B1 (ko) * 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
JP6338462B2 (ja) 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
KR102173047B1 (ko) * 2013-10-10 2020-11-03 삼성디스플레이 주식회사 기상 증착 장치
KR20150055227A (ko) * 2013-11-13 2015-05-21 삼성전자주식회사 샤워 헤드 및 이를 포함하는 기판 처리 장치
KR102033735B1 (ko) * 2013-11-20 2019-10-17 엘지디스플레이 주식회사 기판 냉각장치 및 이를 포함하는 화학기상 증착장치
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
WO2015122977A1 (en) * 2014-02-11 2015-08-20 Applied Materials, Inc. Extended precursor gas injection method
DE102014207266A1 (de) * 2014-04-15 2015-10-15 Siltronic Ag Verfahren zum Trocknen von scheibenförmigen Substraten undScheibenhalter zur Durchführung des Verfahrens
SG11201608640QA (en) * 2014-05-16 2016-11-29 Applied Materials Inc Showerhead design
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
CN104238923B (zh) * 2014-07-29 2019-03-29 京东方科技集团股份有限公司 一种显示设备及其工作方法
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6193284B2 (ja) * 2015-03-18 2017-09-06 株式会社東芝 流路構造、吸排気部材、及び処理装置
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
GB201513339D0 (en) * 2015-07-29 2015-09-09 Pilkington Group Ltd Coating apparatus
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10233543B2 (en) * 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
JP6718730B2 (ja) * 2016-04-19 2020-07-08 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置及び気相成長方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017209802A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6616258B2 (ja) * 2016-07-26 2019-12-04 株式会社Kokusai Electric 基板処理装置、蓋部カバーおよび半導体装置の製造方法
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6789774B2 (ja) * 2016-11-16 2020-11-25 株式会社ニューフレアテクノロジー 成膜装置
JP6700156B2 (ja) * 2016-11-16 2020-05-27 株式会社ニューフレアテクノロジー 成膜装置
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
KR101922469B1 (ko) * 2017-05-12 2018-11-28 (주)디에스테크노 화학기상증착 저 저항 실리콘 카바이드 벌크 제조 장치
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10712005B2 (en) 2017-07-14 2020-07-14 Goodrich Corporation Ceramic matrix composite manufacturing
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10480065B2 (en) * 2017-09-19 2019-11-19 Goodrich Corporation Gas distribution for chemical vapor deposition/infiltration
KR20200087267A (ko) * 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10510553B1 (en) * 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US20200087788A1 (en) * 2018-09-17 2020-03-19 Applied Materials, Inc. Multiple channel showerheads
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109457236A (zh) * 2019-01-04 2019-03-12 中晟光电设备(上海)股份有限公司 一种进气顶盘及金属有机物化学气相沉积反应器
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
WO2021011950A1 (en) 2019-07-17 2021-01-21 Lam Research Corporation Modulation of oxidation profile for substrate processing
KR20210065054A (ko) * 2019-11-25 2021-06-03 주식회사 원익아이피에스 가스 공급 블록 및 이를 포함하는 기판 처리 장치
KR102170451B1 (ko) * 2020-01-22 2020-10-28 (주)이큐테크플러스 프리커서와 반응가스를 함께 분사하는 라디컬 유닛 및 이를 포함하는 ald장치
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
CN111501020A (zh) * 2020-06-10 2020-08-07 北京北方华创微电子装备有限公司 半导体设备
US20220028710A1 (en) * 2020-07-21 2022-01-27 Applied Materials, Inc. Distribution components for semiconductor processing systems
CN112349631B (zh) * 2020-11-04 2021-09-10 长江存储科技有限责任公司 一种输气管道、半导体机台
KR102678091B1 (ko) * 2020-12-14 2024-06-26 가부시키가이샤 뉴플레어 테크놀로지 기상 성장 장치 및 기상 성장 방법
CN114277359B (zh) * 2021-12-28 2023-11-28 新美光(苏州)半导体科技有限公司 进气管道、化学气相沉积炉及向其通入前驱体的方法
CN114086155B (zh) * 2022-01-18 2022-04-15 北京中科重仪半导体科技有限公司 气体喷头
CN115537765B (zh) * 2022-09-27 2024-07-12 盛吉盛(宁波)半导体科技有限公司 等离子体化学气相沉积装置和小尺寸沟槽填充方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002294454A (ja) * 2001-03-19 2002-10-09 Apex Co Ltd 化学気相蒸着装置
JP2003504866A (ja) * 1999-07-08 2003-02-04 ジーナス・インコーポレイテッド Cvdおよびpecvdプロセス中に基板に均一ガス送出を行う方法および装置
JP2003525349A (ja) * 2000-02-04 2003-08-26 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
WO2005041285A1 (ja) * 2003-10-23 2005-05-06 Tokyo Electron Limited シャワーヘッド及びこれを用いた成膜装置

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US4717049A (en) * 1984-04-05 1988-01-05 Mobil Oil Corporation Droplet generating apparatus
US4584206A (en) * 1984-07-30 1986-04-22 Ppg Industries, Inc. Chemical vapor deposition of a reflective film on the bottom surface of a float glass ribbon
KR880000618B1 (ko) * 1985-12-28 1988-04-18 재단법인 한국화학연구소 초단파 가열 유동상 반응에 의한 고순도 다결정 실리콘의 제조 방법
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JPH0394069A (ja) * 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US5324360A (en) * 1991-05-21 1994-06-28 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device and apparatus therefor
US5556476A (en) 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5571332A (en) * 1995-02-10 1996-11-05 Jet Process Corporation Electron jet vapor deposition system
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6187214B1 (en) * 1996-05-13 2001-02-13 Universidad De Seville Method and device for production of components for microfabrication
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6080446A (en) * 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
JPH1167675A (ja) * 1997-08-21 1999-03-09 Toshiba Ceramics Co Ltd 高速回転気相薄膜形成装置及びそれを用いる高速回転気相薄膜形成方法
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP3595853B2 (ja) 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6623656B2 (en) 1999-10-07 2003-09-23 Advanced Technology Materials, Inc. Source reagent composition for CVD formation of Zr/Hf doped gate dielectric and high dielectric constant metal oxide thin films and method of using same
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6221166B1 (en) 2000-06-07 2001-04-24 Simplus Systems Corporation Multi-thermal zone shielding apparatus
JP4387190B2 (ja) 2001-10-18 2009-12-16 ビュン,チュル,スー 汚染防止と膜成長速度増進機能を備える化学気相蒸着方法及び装置
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US7229666B2 (en) 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
JP3869778B2 (ja) * 2002-09-11 2007-01-17 エア・ウォーター株式会社 成膜装置
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003504866A (ja) * 1999-07-08 2003-02-04 ジーナス・インコーポレイテッド Cvdおよびpecvdプロセス中に基板に均一ガス送出を行う方法および装置
JP2003525349A (ja) * 2000-02-04 2003-08-26 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
JP2002294454A (ja) * 2001-03-19 2002-10-09 Apex Co Ltd 化学気相蒸着装置
WO2005041285A1 (ja) * 2003-10-23 2005-05-06 Tokyo Electron Limited シャワーヘッド及びこれを用いた成膜装置

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013074213A (ja) * 2011-09-28 2013-04-22 Nuflare Technology Inc 成膜装置および成膜方法
JP2013087038A (ja) * 2011-10-21 2013-05-13 Stanley Electric Co Ltd 気相成長装置及び材料ガス噴出器
JP2013239707A (ja) * 2012-05-11 2013-11-28 Advanced Micro Fabrication Equipment Inc Shanghai ガスシャワーヘッド、その製造方法及び薄膜成長反応装置
US9534724B2 (en) 2012-05-11 2017-01-03 Advanced Micro-Fabrication Equipment Inc, Shanghai Gas showerhead, method for making the same and thin film growth reactor
JP2015015430A (ja) * 2013-07-08 2015-01-22 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
KR101943691B1 (ko) 2014-10-29 2019-01-29 도쿄엘렉트론가부시키가이샤 성막 장치 및 샤워 헤드
KR20160052336A (ko) * 2014-10-29 2016-05-12 도쿄엘렉트론가부시키가이샤 성막 장치 및 샤워 헤드
JP2017011182A (ja) * 2015-06-24 2017-01-12 株式会社デンソー 炭化珪素半導体のエピタキシャル成長装置
WO2018030009A1 (ja) * 2016-08-10 2018-02-15 株式会社東芝 流路構造及び処理装置
KR20180031760A (ko) * 2016-08-10 2018-03-28 가부시끼가이샤 도시바 유로 구조 및 처리 장치
JP2018026482A (ja) * 2016-08-10 2018-02-15 株式会社東芝 流路構造及び処理装置
TWI661870B (zh) * 2016-08-10 2019-06-11 東芝股份有限公司 Flow path structure and processing device
KR102016456B1 (ko) 2016-08-10 2019-09-02 가부시끼가이샤 도시바 유로 구조 및 처리 장치
KR102062895B1 (ko) 2016-09-12 2020-01-06 가부시끼가이샤 도시바 유로 구조 및 처리 장치
JP2022512348A (ja) * 2018-12-11 2022-02-03 アプライド マテリアルズ インコーポレイテッド 蒸発材料を堆積するための蒸気源、蒸気源のためのノズル、真空堆積システム、及び蒸発材料を堆積するための方法

Also Published As

Publication number Publication date
KR100849929B1 (ko) 2008-08-26
JP5738349B2 (ja) 2015-06-24
DE112007002179B4 (de) 2021-06-17
JP2013174023A (ja) 2013-09-05
JP5372757B2 (ja) 2013-12-18
US9476121B2 (en) 2016-10-25
CN103290389A (zh) 2013-09-11
US20090169744A1 (en) 2009-07-02
DE112007002179T5 (de) 2009-08-06
CN101517704A (zh) 2009-08-26
US8882913B2 (en) 2014-11-11
US20150004313A1 (en) 2015-01-01
CN101517704B (zh) 2013-04-17
US20150000594A1 (en) 2015-01-01
KR20080025277A (ko) 2008-03-20
US9469900B2 (en) 2016-10-18

Similar Documents

Publication Publication Date Title
JP5372757B2 (ja) 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
US9315897B2 (en) Showerhead for film depositing vacuum equipment
WO2008032910A1 (en) Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
KR100972801B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
US20060021574A1 (en) Multi-gas distribution injector for chemical vapor deposition reactors
US20100263588A1 (en) Methods and apparatus for epitaxial growth of semiconductor materials
US20050059246A1 (en) Device and method for manufacturing thin films
US8197601B2 (en) Vaporizer, vaporization module and film forming apparatus
WO2005119749A1 (ja) ガス処理装置および成膜装置
JP2010503768A5 (ja)
US8262798B2 (en) Shower head, device and method for manufacturing thin films
JP2011501468A (ja) 前駆体ソースを有するシャワーヘッド設計
US7156921B2 (en) Method and apparatus for chemical vapor deposition capable of preventing contamination and enhancing film growth rate
TW200927984A (en) Showerhead design with precursor pre-mixing
JP4537101B2 (ja) 液体材料供給装置、液体材料供給装置のための制御方法
KR100972802B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
KR100450173B1 (ko) 확산억제가스흐름과 확산억제수단을 이용한 화학기상증착방법 및 그 장치
KR200263699Y1 (ko) 확산억제가스흐름과 확산억제수단을 이용한 화학기상증착장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091022

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100511

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20100511

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100513

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20120508

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120508

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120608

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120629

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120927

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121029

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20121213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130313

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130321

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130418

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130425

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130517

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130529

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130823

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130918

R150 Certificate of patent or registration of utility model

Ref document number: 5372757

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250