JP2010503768A5 - - Google Patents

Download PDF

Info

Publication number
JP2010503768A5
JP2010503768A5 JP2009528163A JP2009528163A JP2010503768A5 JP 2010503768 A5 JP2010503768 A5 JP 2010503768A5 JP 2009528163 A JP2009528163 A JP 2009528163A JP 2009528163 A JP2009528163 A JP 2009528163A JP 2010503768 A5 JP2010503768 A5 JP 2010503768A5
Authority
JP
Japan
Prior art keywords
gas
reaction
reaction gas
shower head
injection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009528163A
Other languages
English (en)
Other versions
JP2010503768A (ja
JP5372757B2 (ja
Filing date
Publication date
Priority claimed from KR1020070008668A external-priority patent/KR100849929B1/ko
Application filed filed Critical
Priority claimed from PCT/KR2007/000832 external-priority patent/WO2008032910A1/en
Publication of JP2010503768A publication Critical patent/JP2010503768A/ja
Publication of JP2010503768A5 publication Critical patent/JP2010503768A5/ja
Application granted granted Critical
Publication of JP5372757B2 publication Critical patent/JP5372757B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

前記反応気体噴射チューブ151は、下方に位置した反応気体シャワーヘッドモジュール210の天井261と底面269にその両端がそれぞれ密封挿入されている案内管281の内部に沿って下方の反応気体シャワーヘッドモジュール210を横切る。一方、パージ気体は、パージ気体流入口423を介して、シャワーヘッドの真下に位置するパージ気体シャワーヘッドモジュール410内に流入した後、中間板435に設けられた多数の連結通路437を介して流れながらパージ気体シャワーヘッドモジュール410内で拡散し、底面469に穿設されている多数のパージ気体噴射出口446を介して噴射される。パージ気体出口446の内径が小さいほど、パージ気体シャワーヘッドモジュールの内部における拡散はより均等に行われるが、そのパージ気体出口446の内径は略0.3〜0.6mmが好ましい。ところが、後述する冷却ジャケットをパージ気体シャワーヘッドモジュール410の下に設置する場合、パージ気体噴射出口446は、基板側に所定の長さ(図5において「d」)、例えば3mmの長さで突出した形状を持ってもよい。図5を参照すると、パージ気体シャワーヘッドモジュール410では案内管481の両端がパージ気体シャワーヘッドモジュールの天井461と底面469との間に密封挿入されているが、反応気体シャワーヘッドモジュール110、210から延長されてきた反応気体噴射チューブ151、251は案内管481の内部に沿ってパージ気体シャワーヘッドモジュール410を通過する。ところで、図6に示すように、パージ気体シャワーヘッドモジュール410の底面469における案内管の貫通出口450の内壁と案内管481の外壁との間に所定の隙間(図6において「g」)を置けば、反応気体噴射チューブ151、251の端部に発生しうる汚染に対する防止効果が多少増進するかも知れないが、その構造は複雑になり、パージ気体出口を介して噴射されるパージ気体の量と隙間の間に噴射されるパージ気体の量を独立に調節することも難くなる。そして、反応気体噴射チューブの端部における汚染防止の面では、反応気体噴射チューブから噴射される反応気体による慣性が、隙間の間に流れるパージ気体の影響より大きい可能性もあると思われる。したがって、案内管481の外壁と案内管貫通出口450の内壁との間に隙間がなくてパージ気体がこの隙間を通じて流れないとしても、反応気体噴射チューブの端部における汚染は激しくない可能性もある。ところが、パージ気体噴射出口から噴射されるパージ気体は、シャワーヘッドの底部で保護カーテンを作るに際して依然として重要な役割を果たす。

Claims (17)

  1. 反応チャンバ内に置かれている基板上に膜を蒸着するために少なくとも1種の反応気体および1種のパージ気体をシャワーヘッドを介して基板上に供給する化学気相蒸着方法において、
    前記シャワーヘッドの底面が前記基板から所定の距離だけ離隔するようにシャワーヘッドを配置する配置段階と、
    応気体および噴射支援気体を前記シャワーヘッド内に注入する注入段階であって、それぞれの反応気体はシャワーヘッド内部のそれぞれの隔室に流入し、それぞれの反応気体は前記シャワーヘッド内のそれぞれの混合領域でそれぞれの噴射支援気体と互いに混合されてなる注入段階と、
    パージ気体を前記シャワーヘッド内の別の隔室に注入する注入段階と、
    前記噴射支援気体と混合された前記反応気体および前記パージ気体をそれぞれ前記シャワーヘッドの底面に設けられた複数の反応気体噴射出口および複数のパージ気体噴射出口を介して排出させる排出段階とを含んでなることを特徴とする、シャワーヘッドを用いた化学気相蒸着方法。
  2. 前記反応気体に混合される噴射支援気体の単位時間当たりの流量は、独立に調節されることを特徴とする、請求項1に記載のシャワーヘッドを用いた化学気相蒸着方法。
  3. 前記パージ気体および前記噴射支援気体はそれぞれAr、N、He、HおよびOよりなる群から選ばれる少なくとも一つを含むことを特徴とする、請求項1に記載のシャワーヘッドを用いた化学気相蒸着方法。
  4. 前記反応気体は、気体状態の金属有機化合物であることを特徴とする、請求項1に記載のシャワーヘッドを用いた化学気相蒸着方法。
  5. 冷却ジャケットが前記シャワーヘッドの真下の部分を構成するようにし、前記冷却ジャケットに冷却材を注入して前記シャワーヘッドを冷却する冷却段階をさらに含むことを特徴とする、請求項1に記載のシャワーヘッドを用いた化学気相蒸着方法。
  6. 反応チャンバ内に置かれている基板上に膜を蒸着させるために、少なくとも1種の反応気体と1種のパージ気体を、シャワーヘッドを介して前記基板上に噴射するシャワーヘッドを用いた化学気相蒸着装置において、
    前記シャワーヘッドは
    前記シャワーヘッドから噴射される前記反応気体の種類の数量と同一の数量であり、互いに隔離される複数の反応気体シャワーヘッドモジュールであって前記複数の反応気体シャワーヘッドモジュールはそれぞれ1種の反応気体と、前記反応気体の噴射速度を調節するために使用される1種の噴射支援気体とが混合されるための、前記反応気体シャワーヘッドモジュールの内部の混合領域と、前記噴射支援気体と混合された前記気体を前記基板上に噴射するための前記反応気体シャワーヘッドモジュールの底面に備えられた複数の反応気体噴射チューブと、を有してなる複数の反応気体シャワーヘッドモジュールと、
    前記反応気体シャワーヘッドモジュールの下側に設置され、前記パージ気体シャワーヘッドモジュールへパージ気体を供給するためのパージ気体供給口と、前記パージ気体のみで充填するための前記反応気体シャワーヘッドモジュールの内部空間から分離された内部空間と、前記パージ気体を前記基板上に噴射するための前記パージ気体シャワーヘッドモジュールの底面に備えられた複数のパージ気体出口と、を有するパージ気体シャワーヘッドモジュールと、を含んでなり、
    前記上方にある反応気体シャワーヘッドモジュールに取り付けられた前記反応気体噴射チューブはそれぞれ、前記下方にある反応気体シャワーヘッドモジュールに備えられた案内管の内部に沿って、前記下方にある反応気体シャワーヘッドモジュールの内部を通過し、且つ前記上方又は下方にある反応気体シャワーヘッドモジュールに取り付けられた前記反応気体噴射チューブが前記パージ気体シャワーヘッドモジュールの内部を通過することを特徴とする、シャワーヘッドを用いた化学気相蒸着装置。
  7. 前記反応気体シャワーヘッドモジュールはそれぞれ
    前記反応気体が反応気体流入口を介して供給されて均一に広がる拡散室と、
    噴射支援気体が噴射支援気体流入口を介して供給された後、前記拡散室から流入する前記反応気体と混合される混合室と、
    前記反応気体と前記噴射支援気体との混合気体を前記反応気体噴射チューブに分配するための分配室とを含んでなるが、
    前記拡散室と前記混合室とは複数の孔が設けられた境部材を介して連結され、前記混合室と前記分配室とは複数の孔が設けられた別の境部材を介して連結されることを特徴とする、請求項6に記載のシャワーヘッドを備えた化学気相蒸着装置。
  8. 前記反応気体シャワーヘッドモジュールはそれぞれ
    前記反応気体が反応気体流入口を介して供給されて均一に広がる反応気体拡散室と、
    前記反応気体拡散室にの天井となる境界部材を介して連結され、複数の孔を有し、噴射支援気体が噴射支援気体流入口を介して供給されて均一に広がる噴射支援気体拡散室と、
    前記噴射支援気体拡散室の底面となる境界部材を介して前記噴射支援気体拡散室に連結され、前記反応気体噴射チューブがの底面に取り付けられた混合室と、
    前記噴射支援気体拡散室の天井と底面に両端が密封連結される複数の内径0.5〜1.5mmの反応気体拡散通路とを含んでな
    前記反応気体は前記反応気体拡散室から前記反応気体拡散通路を介して前記噴射支援気体拡散室を横切って前記混合室に噴射され、前記噴射支援気体は前記噴射支援気体拡散室の底面に設けられている複数の直径0.3〜0.6mmの孔を介して前記混合室に流入、前記混合室で前記噴射支援気体と混合された反応気体が前記反応気体噴射チューブに分配されることを特徴とする、請求項6に記載のシャワーヘッドを備えた化学気相蒸着装置。
  9. 前記反応気体シャワーヘッドモジュールはそれぞれ
    前記反応気体が反応気体流入口から流入し、その中央平面に設けられている複数の孔を介して流れながら拡散した後、その底面に連結されている複数の内側反応気体噴射チューブに分配される反応気体分配室と、
    噴射支援気体が噴射支援気体流入口から流入し、その中央平面に設けられている複数の孔を介して流れながら拡散した後、その底面に連結されている複数の外側反応気体噴射チューブに分配される噴射支援気体分配室とを含んでなり、
    前記反応気体噴射チューブは前記内側反応気体噴射チューブと前記外側反応気体噴射チューブとが1対を成し、前記内側反応気体噴射チューブは前記噴射支援気体分配室を横切って延長されながら前記外側反応気体噴射チューブによって取り囲まれ、前記内側反応気体噴射チューブの端部は前記外側反応気体噴射チューブの端部より5〜10mmだけ短く、前記内側反応気体噴射チューブを介して伝達される反応気体と、前記内側反応気体噴射チューブと前記外側反応気体噴射チューブとの間の隙間領域を介して伝達される噴射支援気体との混合が、前記内側反応気体噴射チューブの端部と前記外側反応気体噴射チューブの端部との間の空間で行われることを特徴とする、請求項6に記載のシャワーヘッドを備えた化学気相蒸着装置。
  10. シャワーヘッドを冷却する冷却ジャケットをさらに含み、前記冷却ジャケットは前記パージ気体シャワーヘッドモジュールの下に取り付けられてなることを特徴とする、請求項6に記載のシャワーヘッドを備えた化学気相蒸着装置。
  11. 前記反応気体噴射チューブは行と列の形で配列されることを特徴とする、請求項6〜9のいずれか1項に記載のシャワーヘッドを備えた化学気相蒸着装置。
  12. 前記反応気体噴射チューブが同心円に沿って設けられる配置を有することを特徴とする、請求項6〜9のいずれか1項に記載のシャワーヘッドを備えた化学気相蒸着装置。
  13. 反応チャンバ内に置かれている基板上に膜を蒸着させるために、反応気体とパージ気体とを、シャワーヘッドを介して前記基板上に噴射するシャワーヘッドを用いた化学気相蒸着装置において、前記シャワーヘッドは、
    前記反応気体シャワーヘッドモジュールの内部に前記反応気体を供給する反応気体流入口を具備し、前記反応気体シャワーヘッドモジュールの底面に取り付けられた複数の反応気体噴射チューブを有して、前記反応気体を前記反応気体噴射チューブを介して前記基板上に噴射する反応気体シャワーヘッドモジュールと、
    反応気体シャワーヘッドモジュールの下側に設置され、パージ気体を前記パージ気体シャワーヘッドモジュールの内部に供給するパージ気体供給口を備え、前記反応気体噴射チューブに前記パージ気体シャワーヘッドモジュールの内部を通過させ、一方で前記パージ気体シャワーヘッドモジュールと前記反応気体噴射チューブとの間の密封を維持するパージ気体シャワーヘッドモジュールと、
    シャワーヘッドを冷却する冷却ジャケットであって、前記冷却ジャケットは前記パージ気体シャワーヘッドモジュールの下に取り付けられ、前記冷却ジャケットは天井、垂直壁、冷却材の流入口および冷却材の流出口、底面、そして前記冷却ジャケットの天井と底面との間に設置されて、前記反応気体噴射チューブを収納するように構成され、前記案内管の内径は前記反応気体噴射チューブの外径よりも大きく、前記案内管と前記反応気体噴射チューブとの間に隙間が設けられてなる冷却ジャケットとを備え、
    前記シャワーヘッドは、前記パージ気体シャワーヘッドモジュールから排出された前記パージ気体が前記案内管と前記反応気体噴射チューブとの間の隙間を通過して、前記基板に噴射されるように構成されることを特徴とする、シャワーヘッドを備えた化学気相蒸着装置。
  14. 前記冷却ジャケットは前記冷却ジャケットの天井と底面との間に取り付けられた複数の追加的な案内管をさらに備え、前記パージ気体シャワーヘッドモジュールから排出された前記パージ気体が前記追加的な案内管の内部を通過して前記基板に噴射されることを特徴とする、請求項13に記載のシャワーヘッドを備えた化学気相蒸着装置。
  15. 前記反応気体噴射チューブは前記冷却ジャケットの底面から基板側に0〜10mmの範囲で突出し、前記反応気体噴射チューブの端部は窄まったノズルの形状を有し、前記ノズルの出口の内径は0.8〜2mmであることを特徴とする、請求項13または14に記載のシャワーヘッドを備えた化学気相蒸着装置。
  16. 前記反応チャンバの内部に設置された基板を取り囲む反応気体閉じ込め装置であって、前記反応気体閉じ込め装置は側壁と天井とを備え前記反応気体閉じ込め装置の内側領域と外側領域とが連通し得るように表面に複数の孔が設けられており、前壁は反応チャンバの底部まで延長ていることにより、前記反応チャンバの天井と前記反応気体閉じ込め装置の天井との間に所定の大きさの空間が設けられてなる反応気体閉じ込め装置と、
    第2のパージ気体を前記反応チャンバに供給する、前記反応チャンバの天井に設けられる第2のパージ気体供給ポートと、
    反応チャンバから副産物を排出させるために前記反応気体閉じ込め装置の内部領域に設置される排気口とをさらに含む化学気相蒸着装置において
    前記第2のパージ気体供給ポートを介して供給される第2のパージ気体が、前記空間を通り、前記反応気体閉じ込め装置の表面に設けられている複数の孔を介して前記反応気体閉じ込め装置の内部に流入し、その流入する時間当たりの流量調節されることにより前記反応気体閉じ込め装置の汚染を防ぎ、基板の付近で反応気体の濃度を増大させて、基板上で成長する膜の成長速度を高める役割を果たしてなることを特徴とする、請求項13または14に記載のシャワーヘッドを備えた化学気相蒸着装置。
  17. 前記反応チャンバの内部に設置された基板を取り囲む反応気体閉じ込め装置であって、前記反応気体閉じ込め装置は垂直壁と平らな縁部付き天井とを備え前記反応気体閉じ込め装置の内側領域と外側領域とが連通し得るように表面に複数の孔が設けられており、前記垂直壁は反応チャンバの底部まで延長ていることにより、前記反応チャンバの天井と前記反応気体閉じ込め装置の天井との間に所定の大きさの空間が設けられ、前記垂直壁を下降させて前記反応気体閉じ込め装置の前記垂直壁と前記天井との間に隙間を設けることが可能な反応気体閉じ込め装置と、
    第2のパージ気体を前記反応チャンバに供給する、前記反応チャンバの天井に設けられる第2のパージ気体供給ポートと、
    反応チャンバから副産物を排出させるために前記反応気体閉じ込め装置の内部領域に設置される排気口とをさらに含む化学気相蒸着装置において、前記第2のパージ気体供給ポートを介して供給される第2のパージ気体が、前記空間を通り、前記反応気体閉じ込め装置の表面に設けられている複数の孔を介して前記反応気体閉じ込め装置の内部に流入し、その流入する時間当たりの流量調節されることにより前記反応気体閉じ込め装置の汚染を防ぎ、基板の付近で反応気体の濃度を増大させて、基板上で成長する膜の成長速度を高める役割を果たしてなることを特徴とする、請求項13または14に記載のシャワーヘッドを備えた化学気相蒸着装置。
JP2009528163A 2006-09-16 2007-02-16 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法 Active JP5372757B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
KR10-2006-0089853 2006-09-16
KR20060089853 2006-09-16
KR10-2006-0124928 2006-12-08
KR20060124928 2006-12-08
KR1020070008668A KR100849929B1 (ko) 2006-09-16 2007-01-29 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
KR10-2007-0008668 2007-01-29
PCT/KR2007/000832 WO2008032910A1 (en) 2006-09-16 2007-02-16 Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013113202A Division JP5738349B2 (ja) 2006-09-16 2013-05-29 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法

Publications (3)

Publication Number Publication Date
JP2010503768A JP2010503768A (ja) 2010-02-04
JP2010503768A5 true JP2010503768A5 (ja) 2010-07-15
JP5372757B2 JP5372757B2 (ja) 2013-12-18

Family

ID=39413208

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009528163A Active JP5372757B2 (ja) 2006-09-16 2007-02-16 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法
JP2013113202A Active JP5738349B2 (ja) 2006-09-16 2013-05-29 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013113202A Active JP5738349B2 (ja) 2006-09-16 2013-05-29 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法

Country Status (5)

Country Link
US (3) US8882913B2 (ja)
JP (2) JP5372757B2 (ja)
KR (1) KR100849929B1 (ja)
CN (2) CN101517704B (ja)
DE (1) DE112007002179B4 (ja)

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
KR101462243B1 (ko) * 2008-05-08 2014-11-20 주식회사 테스 화학기상박막증착장치
EP3483919A1 (en) * 2008-12-04 2019-05-15 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
EP2415522B2 (en) 2009-04-03 2021-06-23 Cataler Corporation Method and device for manufacturing exhaust emission control catalyst
KR101064210B1 (ko) 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR100944186B1 (ko) * 2009-07-14 2010-02-24 주식회사 시스넥스 화학기상증착 반응기의 가스분사장치
CN102021530A (zh) * 2009-09-11 2011-04-20 甘志银 多重气体耦合金属有机物化学气相沉积设备反应腔体
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR100996210B1 (ko) * 2010-04-12 2010-11-24 세메스 주식회사 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
JP5736291B2 (ja) * 2011-09-28 2015-06-17 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
JP5852402B2 (ja) * 2011-10-21 2016-02-03 スタンレー電気株式会社 気相成長装置及び材料ガス噴出器
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
CN103388132B (zh) 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
CN102766854B (zh) * 2012-08-16 2013-06-05 江苏汉莱科技有限公司 一种mocvd新系统
CN102877040A (zh) * 2012-09-06 2013-01-16 绿种子科技(潍坊)有限公司 供气装置及应用该装置的化学气相沉积装置
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102003768B1 (ko) * 2012-11-13 2019-07-26 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
KR101519827B1 (ko) 2012-12-21 2015-05-13 주식회사 테스 가스 분사유닛
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
KR102061749B1 (ko) * 2012-12-27 2020-01-02 주식회사 무한 기판 처리 장치
CN103088412B (zh) * 2013-01-29 2015-11-18 杭州士兰明芯科技有限公司 刻蚀烘烤设备的反应炉
CN103088413B (zh) * 2013-01-29 2015-11-18 杭州士兰明芯科技有限公司 刻蚀烘烤设备
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
CN103320852A (zh) * 2013-06-14 2013-09-25 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
KR101542599B1 (ko) * 2013-06-26 2015-08-06 한국생산기술연구원 확산핀을 가지는 전자소자 제조용 샤워 헤드 및 샤워 헤드 조립체
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
JP6180208B2 (ja) * 2013-07-08 2017-08-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
KR102329268B1 (ko) * 2013-07-25 2021-11-22 삼성디스플레이 주식회사 기상 증착 장치
KR102203098B1 (ko) * 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
JP6338462B2 (ja) 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
KR102173047B1 (ko) * 2013-10-10 2020-11-03 삼성디스플레이 주식회사 기상 증착 장치
KR20150055227A (ko) * 2013-11-13 2015-05-21 삼성전자주식회사 샤워 헤드 및 이를 포함하는 기판 처리 장치
KR102033735B1 (ko) * 2013-11-20 2019-10-17 엘지디스플레이 주식회사 기판 냉각장치 및 이를 포함하는 화학기상 증착장치
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
WO2015122977A1 (en) * 2014-02-11 2015-08-20 Applied Materials, Inc. Extended precursor gas injection method
DE102014207266A1 (de) * 2014-04-15 2015-10-15 Siltronic Ag Verfahren zum Trocknen von scheibenförmigen Substraten undScheibenhalter zur Durchführung des Verfahrens
US10221483B2 (en) * 2014-05-16 2019-03-05 Applied Materials, Inc. Showerhead design
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
CN104238923B (zh) * 2014-07-29 2019-03-29 京东方科技集团股份有限公司 一种显示设备及其工作方法
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6193284B2 (ja) * 2015-03-18 2017-09-06 株式会社東芝 流路構造、吸排気部材、及び処理装置
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP6550962B2 (ja) * 2015-06-24 2019-07-31 株式会社デンソー 炭化珪素半導体のエピタキシャル成長装置
GB201513339D0 (en) * 2015-07-29 2015-09-09 Pilkington Group Ltd Coating apparatus
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
JP6718730B2 (ja) * 2016-04-19 2020-07-08 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置及び気相成長方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102303066B1 (ko) * 2016-06-03 2021-09-16 어플라이드 머티어리얼스, 인코포레이티드 챔버 내부의 유동을 확산시키는 것에 의한 더 낮은 입자 수 및 더 양호한 웨이퍼 품질을 위한 효과적이고 새로운 설계
JP6616258B2 (ja) * 2016-07-26 2019-12-04 株式会社Kokusai Electric 基板処理装置、蓋部カバーおよび半導体装置の製造方法
JP6352993B2 (ja) * 2016-08-10 2018-07-04 株式会社東芝 流路構造及び処理装置
JP6495875B2 (ja) 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6789774B2 (ja) * 2016-11-16 2020-11-25 株式会社ニューフレアテクノロジー 成膜装置
JP6700156B2 (ja) * 2016-11-16 2020-05-27 株式会社ニューフレアテクノロジー 成膜装置
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
KR101922469B1 (ko) * 2017-05-12 2018-11-28 (주)디에스테크노 화학기상증착 저 저항 실리콘 카바이드 벌크 제조 장치
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10712005B2 (en) 2017-07-14 2020-07-14 Goodrich Corporation Ceramic matrix composite manufacturing
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10480065B2 (en) * 2017-09-19 2019-11-19 Goodrich Corporation Gas distribution for chemical vapor deposition/infiltration
WO2019113478A1 (en) * 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10510553B1 (en) * 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10889894B2 (en) 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US20200087788A1 (en) * 2018-09-17 2020-03-19 Applied Materials, Inc. Multiple channel showerheads
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020119895A1 (en) * 2018-12-11 2020-06-18 Applied Materials, Inc. Vapor source for depositing an evaporated material, nozzle for a vapor source, vacuum deposition system, and method for depositing an evaporated material
CN109457236A (zh) * 2019-01-04 2019-03-12 中晟光电设备(上海)股份有限公司 一种进气顶盘及金属有机物化学气相沉积反应器
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022525108A (ja) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
KR102170451B1 (ko) * 2020-01-22 2020-10-28 (주)이큐테크플러스 프리커서와 반응가스를 함께 분사하는 라디컬 유닛 및 이를 포함하는 ald장치
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
CN111501020A (zh) * 2020-06-10 2020-08-07 北京北方华创微电子装备有限公司 半导体设备
CN112349631B (zh) * 2020-11-04 2021-09-10 长江存储科技有限责任公司 一种输气管道、半导体机台
KR102678091B1 (ko) * 2020-12-14 2024-06-26 가부시키가이샤 뉴플레어 테크놀로지 기상 성장 장치 및 기상 성장 방법
CN114277359B (zh) * 2021-12-28 2023-11-28 新美光(苏州)半导体科技有限公司 进气管道、化学气相沉积炉及向其通入前驱体的方法
CN114086155B (zh) * 2022-01-18 2022-04-15 北京中科重仪半导体科技有限公司 气体喷头
CN115537765B (zh) * 2022-09-27 2024-07-12 盛吉盛(宁波)半导体科技有限公司 等离子体化学气相沉积装置和小尺寸沟槽填充方法

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US4717049A (en) * 1984-04-05 1988-01-05 Mobil Oil Corporation Droplet generating apparatus
US4584206A (en) * 1984-07-30 1986-04-22 Ppg Industries, Inc. Chemical vapor deposition of a reflective film on the bottom surface of a float glass ribbon
KR880000618B1 (ko) * 1985-12-28 1988-04-18 재단법인 한국화학연구소 초단파 가열 유동상 반응에 의한 고순도 다결정 실리콘의 제조 방법
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JPH0394069A (ja) * 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US5324360A (en) * 1991-05-21 1994-06-28 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device and apparatus therefor
US5556476A (en) 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5571332A (en) * 1995-02-10 1996-11-05 Jet Process Corporation Electron jet vapor deposition system
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6187214B1 (en) * 1996-05-13 2001-02-13 Universidad De Seville Method and device for production of components for microfabrication
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
JPH1167675A (ja) * 1997-08-21 1999-03-09 Toshiba Ceramics Co Ltd 高速回転気相薄膜形成装置及びそれを用いる高速回転気相薄膜形成方法
US6080446A (en) * 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP3595853B2 (ja) 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6623656B2 (en) 1999-10-07 2003-09-23 Advanced Technology Materials, Inc. Source reagent composition for CVD formation of Zr/Hf doped gate dielectric and high dielectric constant metal oxide thin films and method of using same
ATE249532T1 (de) * 2000-02-04 2003-09-15 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6221166B1 (en) 2000-06-07 2001-04-24 Simplus Systems Corporation Multi-thermal zone shielding apparatus
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
WO2003034477A1 (en) 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US7229666B2 (en) 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
JP3869778B2 (ja) * 2002-09-11 2007-01-17 エア・ウォーター株式会社 成膜装置
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件

Similar Documents

Publication Publication Date Title
JP2010503768A5 (ja)
JP5372757B2 (ja) 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
KR100378871B1 (ko) 라디칼 증착을 위한 샤워헤드장치
US20120067971A1 (en) Showerhead for film depositing vacuum equipment
KR101358204B1 (ko) 고체 유기 금속 화합물의 충전 방법 및 충전 용기
WO2008032910A1 (en) Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
JP2002294454A (ja) 化学気相蒸着装置
KR20120014548A (ko) 전달 장치 및 이의 사용 방법
KR100795487B1 (ko) 층류유동제어장치 및 이를 구비한 화학기상증착반응기
KR100765390B1 (ko) 돔 형태의 샤워헤드를 이용한 박막 증착 장치
KR102631372B1 (ko) 기판처리장치
KR101935881B1 (ko) 대면적 기판처리장치, 대면적 가스공급장치 및 샤워 헤드 지지유닛
KR100982985B1 (ko) 화학 기상 증착 장치
KR20060016707A (ko) 반도체 제조장치의 샤워헤드
KR100406175B1 (ko) 액체 원료 공급 장치 및 이를 이용한 구리층 형성 방법
JP2012190828A (ja) 固体材料ガスの供給装置および供給方法
KR102003310B1 (ko) 소스 분사장치 및 이를 포함하는 박막증착장비
KR101368343B1 (ko) 기판증착장치의 인젝터 및 인젝터 어셈블리
KR101747648B1 (ko) 가스공급부 및 이를 구비한 박막증착장치
KR101667945B1 (ko) 기판 처리 장치
KR100757708B1 (ko) 가스분리형 샤워헤드를 이용한 usg 증착 장치
KR20190087070A (ko) 수평형 기상 증착 장치용 디퓨저
KR20090055871A (ko) 반도체증착장비용 샤워헤드방식 가스공급장치 및가스공급방법
KR20000008107A (ko) 반도체장치 제조용 확산공정설비