TWI797332B - 具有氣隙隔離充氣室的噴淋頭及高架式隔離氣體分配器 - Google Patents

具有氣隙隔離充氣室的噴淋頭及高架式隔離氣體分配器 Download PDF

Info

Publication number
TWI797332B
TWI797332B TW108116660A TW108116660A TWI797332B TW I797332 B TWI797332 B TW I797332B TW 108116660 A TW108116660 A TW 108116660A TW 108116660 A TW108116660 A TW 108116660A TW I797332 B TWI797332 B TW I797332B
Authority
TW
Taiwan
Prior art keywords
gas
plenum
distributor
volume
ports
Prior art date
Application number
TW108116660A
Other languages
English (en)
Other versions
TW202012695A (zh
Inventor
納葛 珊卡
傑佛瑞 D 沃瑪克
梅利哈 格茲德 蘭維爾
埃米爾 C 德雷珀
潘卡吉 G 拉姆拿尼
峰 畢
張鵬翼
伊爾哈姆 莫希尼
卡蒲 瑟利西 瑞迪
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202012695A publication Critical patent/TW202012695A/zh
Application granted granted Critical
Publication of TWI797332B publication Critical patent/TWI797332B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Respiratory Apparatuses And Protective Means (AREA)

Abstract

提供用於獨立輸送不同的、相互反應的製程氣體至晶圓處理空間的噴淋頭。該等噴淋頭包含具有經由一間隙而彼此隔開之複數充氣室結構的第一氣體分配器、以及定位於該第一氣體分配器上方的第二氣體分配器。可使來自第二氣體分配器的隔離氣體向下流至第一氣體分配器上並通過第一氣體分配器之該等充氣室結構之間的間隙,從而建立隔離氣體簾,其避免從各充氣室結構釋出的製程氣體寄生性地沉積於提供其他氣體的充氣室結構上。

Description

具有氣隙隔離充氣室的噴淋頭及高架式隔離氣體分配器
本發明係關於具有氣隙隔離充氣室的噴淋頭及高架式隔離氣體分配器。
許多半導體處理操作係在密封的半導體處理腔室內加以執行,在該半導體處理腔室中,半導體晶圓或其他基板被支撐於一氣體分配器下方的晶圓支撐件或底座上,該氣體分配器通常被稱為「噴淋頭」。本文所討論者為使用於半導體處理設備中之新型的噴淋頭設計,尤其係使用於其中可存在多種不同類型之半導體處理氣體的循序流動的半導體處理設備中,如原子層沉積(ALD)及其他處理中之情況。
此說明書中所述標的之一或更多實施例的細節係在隨附圖式及以下敘述中加以說明。其他特徵、態樣、及優點經由實施方式、圖式、及申請專利範圍而會變得明顯。
在一些實施例中,可提供一種設備,其包含一第一氣體分配器以及一第二氣體分配器。該第一氣體分配器可包含複數充氣室結構及多組氣體分配埠,每一組氣體分配埠與對應的充氣室結構連接,且每一充氣室結構包含對應的充氣室容積。該多組氣體分配埠可包含第一組的第一氣體分配埠及第二組的第二氣體分配埠,且該複數充氣室結構可包括包含第一充氣室容積的第一充氣室結構、及包含第二充氣室容積的第二充氣室結構。該等第一氣體分配埠可與該第一充氣室結構連接,且係配置以沿著第一平均方向引導從該第一充氣室容積流過該等第一氣體分配埠的氣體,而該等第二氣體分配埠可與該第二充氣室結構連接,且係配置以沿著第二平均方向引導從該第二充氣室容積流過該等第二氣體分配埠的氣體,該第二平均方向具有與該第一平均方向對齊的分量。當沿該第一平均方向觀看時,該第一充氣室結構與該第二充氣室結構係經由至少一第一間隙而與彼此相隔開。該第二氣體分配器可包含一隔離氣體充氣室容積、及與該隔離氣體充氣室容積流體連通的複數隔離氣體埠,且可被定位成使得該等隔離氣體埠介於該隔離氣體充氣室容積與該第一氣體分配器之間。該等隔離氣體埠可配置以使從該隔離氣體充氣室容積流過該等隔離氣體埠的氣體朝向該第一氣體分配器流動並通過該第一間隙。
在一些進一步實施例中,該第一間隙可為至少2 mm。在一些進一步實施例中,該第一間隙可為介於2 mm至40 mm之間。
在一些進一步實施例中,該第一充氣室結構可包含第一螺旋管,且該第二充氣室結構可包含第二螺旋管。該第一螺旋管及該第二螺旋管可坐落於共同平面中且可具有實質上相似的節距,且可相對於彼此而以徑向陣列的方式設置。
在一些進一步實施例中,該第一充氣室結構及該第二充氣室結構可各自由固體材料之板件加工而成,且該第一充氣室結構及該第二充氣室結構的邊緣可為圓形的,其半徑為至少1 mm。
在一些進一步實施例中,該設備可更包含複數結構支撐件,每一結構支撐件將該等充氣室結構之二或更多者連接在一起。
在一些進一步實施例中,該等充氣室結構可圍繞共同的中心軸而以等間距徑向陣列的方式設置。
在一些進一步實施例中,每一充氣室結構可包含一或更多管狀結構,該一或更多管狀結構沿著對應的一或更多路徑而延伸。在一些進一步的此等實施例中,每一管狀結構在橫剖面位置處、於垂直於該對應的一或更多路徑的平面中具有一外橫剖面,且此等外橫剖面可例如為下列各者之其中一或多者:圓形橫剖面、長圓形(obround)橫剖面、橢圓形橫剖面、及淚滴形(lachrymiform)橫剖面。在一些進一步或替代的實施例中,該第一間隙可沿著該一或更多路徑而變化。
在一些進一步實施例中,與各個充氣室容積連接的該等氣體分配埠可沿著該對應的一或更多路徑而設置。
在一些進一步實施例中,該第一氣體分配器中的各個充氣室容積可透過對應的流體通道而與對應的氣體入口流體連接,該對應的氣體入口係定位使得該第二氣體分配器介於該對應的氣體入口與該第一氣體分配器之間。在一些進一步的此等實施例中,該第一氣體分配器及該第二氣體分配器可配置以相對於彼此而沿著該第一平均方向移動。
在一些進一步實施例中,各個充氣室結構可包含對應的氣體入口,並且沿著各個路徑而設置的該等氣體分配埠可隨著與該對應的氣體入口之流動路徑距離增加而以減小的距離與彼此相間隔。
在一些進一步實施例中,各個充氣室結構可包含對應的氣體入口,並且沿著各個路徑而設置的該等氣體分配埠的尺寸可大體上隨著與該對應的氣體入口的流動路徑距離增加而增加。
在一些進一步實施例中,該第二氣體分配器可具有界定該隔離氣體充氣室容積的一背板、一週邊側壁、及一面板;該週邊側壁可介於該背板與該面板之間,並且該等隔離氣體埠可由穿過且分佈遍及該面板的複數孔洞所提供。
在一些進一步實施例中,該設備可更包含一半導體處理腔室以及一晶圓支撐件,該晶圓支撐件位在該半導體處理腔室內;在此等實施例中,可使該第一氣體分配器及該第二氣體分配器兩者皆在該晶圓支撐件上方居中。
在一些進一步實施例中,該設備可更包含配置以將隔離氣體提供至該隔離氣體充氣室容積的一隔離氣體源、配置以將第一製程氣體提供至該第一充氣室容積的一第一製程氣體源、以及配置以將第二製程氣體提供至該第二充氣室容積的一第二製程氣體源。在此等實施例中,該第一製程氣體及該第二製程氣體可為不同的,並且該隔離氣體與該第一製程氣體及該第二製程氣體可為不具反應性的。
在一些實施例中,可提供使用諸如上述各者之設備的方法。在此等方法中,可使一或更多製程氣體從第一氣體分配器流出。在此等製程氣體流動期間,可同時使隔離氣體流過第二氣體分配器。在一些此等實施例中,可使第一製程氣體通過該等第一氣體分配埠而流出該第一充氣室容積,同時使第二製程氣體通過該等第二氣體分配埠而流出該第二充氣室容積,並且該第一製程氣體與該第二製程氣體可為具反應性的。
在一些進一步實施例中,此說明書中所述標的之一或更多實施例的細節係在隨附圖式及以下敘述中加以說明。其他特徵、態樣、及優點經由實施方式、圖式、及申請專利範圍而會變得明顯。應注意,以下圖式的相對尺寸可能未按比例繪製。
重要地,本文所討論之概念並不限於本文所討論之任何單一態樣或實施例,亦不限於此等態樣及/或實施例之任何組合及/或置換。此外,本發明之態樣之各者、及/或其實施例可單獨採用或與其他態樣之一或多者及/或其實施例組合採用。為簡潔起見,許多的該等置換及組合不會在本文中單獨地討論及/或說明。
本案發明人構思一種新型的噴淋頭設計,用於涉及交替施加二或更多不同類型的前驅物或其他半導體處理氣體之半導體處理操作中。例如,在原子層沉積(ALD)中,可利用兩單獨施加之前驅物(例如前驅物A及前驅物B)的重複循環對半導體晶圓進行給劑。當前驅物A流過晶圓時,前驅物A之膜層可吸附於半導體晶圓上。接著利用非反應性排淨氣體從處理腔室中沖洗前驅物A(留下吸附的前驅物A),在該操作之後使前驅物B流過半導體晶圓,於是前驅物B以自限制的方式與前驅物A進行反應而形成單分子的厚材料層。接著利用非反應性排淨氣體將未反應的前驅物B從腔室中排淨,並根據需要而重複進行該處理,俾逐層地堆積所期望之材料厚度。
由於ALD操作中所使用之前驅物在混合之後即彼此進行反應,因此其在噴淋頭/處理腔室內通常保持物理上且大體上暫時地彼此隔開。例如,ALD噴淋頭可具有分佈於面板上的兩組氣體分配埠,且每一組氣體分配埠與噴淋頭之不同內部充氣室容積流體連接,其各自利用不同前驅物氣體加以供應。然而,本案發明人判定此等習知噴淋頭設置易受到面向晶圓之面板表面上的兩前驅物之間的非期望反應的影響。具體而言,吾人發現,離開此等面板的前驅物氣體之一部分傾向於迴流或在各個氣體分配埠周圍的區域中略微形成渦流,從而導致前驅物除了吸附於半導體晶圓之外還吸附於面板本身上。因此,面板會經歷非期望之沉積,其會隨時間推移及重複的晶圓處理操作而積累,並對噴淋頭之性能造成不利影響,且可能對利用噴淋頭進行處理的晶圓造成損害或汙染。為了解決此等積累問題,需要對噴淋頭進行使噴淋頭回復至其原始尺度狀態所需的清潔操作或其他處理。此等操作在以下方面皆帶來非期望之成本:執行該等操作之成本、以及當清潔噴淋頭時所經歷的生產停機時間。此外,在一些ALD操作中所沉積之薄膜可能抵抗使用原子氟(其為通常用以從半導體製造設備中除去非期望之沉積材料的清潔氣體)之清潔、或其他清潔技術(例如通常為乾式蝕刻),其意指必須將欲清潔之元件移除並且在重新安裝之前進行更換或進行機械清潔操作(例如研磨、機械加工等)。
應注意,已針對原子層沉積而討論以上範例,但本文所討論之原理及概念大體上適用於:涉及彼此保持隔離直到流過半導體晶圓為止之二或更多氣體或反應物的任何半導體處理操作或工具,例如,原子層蝕刻(ALE)、電漿輔助化學氣相沉積(PECVD)、化學氣相沉積(CVD)、電漿輔助原子層沉積(PEALD)等。
為了解決此等問題,本案發明人構思一種包含第一氣體分配器的新型噴淋頭設計,在該第一氣體分配器中,各個前驅物被定路徑通過個別的充氣室結構,其大體上與第一氣體分配器中之其他充氣室結構同平面(in-plane),但經由一間隙(例如,一氣隙)而與各個相鄰充氣室結構相隔開(應理解,「氣隙」在這層意義上指涉無固體材料將其佔據的間隙,而非其中具有實際之「空氣」的間隙—大多數半導體處理操作係在真空或低壓環境中加以執行,且此等環境通常盡可能保持不含大氣空氣)。此等噴淋頭額外地包含第二氣體分配器,其具有隔離氣體充氣室結構,且具有定位於第一氣體分配器上方的複數隔離氣體埠,俾使隔離氣體流過第一氣體分配器並通過第一氣體分配器中之該等充氣室結構之間的氣隙。在進行此操作時,產生大體上連續的隔離氣體簾,其流動於自第一氣體分配器中之各個充氣室結構釋出的各種前驅物氣體之間。此等隔離氣體簾用以使自第一氣體分配器中之一充氣室結構釋出的前驅物免於到達第一氣體分配器中之其他充氣室結構的表面,從而避免各種前驅物可能在第一氣體分配器之表面上混合。
此外,在一些實施例中可調整第一氣體分配器與第二氣體分配器之間的垂直距離,俾使隔離氣體之流動具有足夠時間在其到達第一氣體分配器中之充氣室結構的氣體分配埠時發展成層流(laminar flow),從而減少充氣室結構表面附近之渦流或迴流的可能性(並因此使前驅物吸附於充氣室結構表面上的風險降低)。
應理解,隔離氣體在本文中用以指涉與可流過噴淋頭之充氣室結構的各種前驅物不具反應性的氣體。此等隔離氣體可例如包含氬、氮、及氦。
該等概念在下文中會參照若干例示性實施例而更加詳細地討論。
圖1為依據本揭示內容之範例第一氣體分配器的俯視局部視圖。在圖1中,第一氣體分配器102係顯示為具有第一充氣室結構106,其界定與複數第一氣體分配埠126流體連接的第一充氣室容積116,該複數第一氣體分配埠126容許流過第一充氣室容積116之第一製程氣體以第一平均方向(例如,在此範例中以朝下進入圖1之頁面的方向)流出第一充氣室結構106。第一平均方向可大體上在向下、垂直的方向上。應理解,提及在平均方向上流動之氣體(如本文所使用之用語),包含大體上在此等平均方向上流動之氣流。例如,若第一平均方向係垂直向下,則「沿」第一平均方向流動之氣體可包含具有不同的實際平均氣流方向(例如第一平均方向的±10%或±20%)的氣體。第一氣體分配器102亦包含第二充氣室結構108,其界定與複數第二氣體分配埠128流體連接的第二充氣室容積118,該複數第二氣體分配埠128容許第二製程氣體以第二平均方向流出第二充氣室結構108,該第二平均方向具有與第一平均方向對齊的分量;在許多此等實施例中,第一平均方向及第二平均方向可為實質上相同的。在此範例中,第一充氣室結構106及第二充氣室結構108兩者皆為螺旋形,例如各自由經彎曲或捲曲成螺旋體的管件所組成。如圖所示,可透過第一氣體入口162而為第一充氣室結構106供應第一製程氣體,且可透過第二氣體入口164而為第二充氣室結構108供應第二製程氣體。為結構上之目的,可藉由一或更多結構支撐件160而將第一氣體分配器102之充氣室結構彼此連接,該一或更多結構支撐件160可被銅焊、熔接、或以其他方式附著於充氣室結構。可使結構支撐件保持相對薄(例如大約若干毫米),以避免顯著地妨礙或干擾自第二氣體分配器通過第一氣體分配器的隔離氣體之流動。
圖1中所示之第一充氣室結構106及第二充氣室結構108係以徑向陣列圍繞共同中心點而設置。因此,第一充氣室結構106及第二充氣室結構108係經由第一間隙140而彼此隔開,此第一間隙140於第一充氣室結構106與第二充氣室結構108之間延伸達第一充氣室結構106及第二充氣室結構108之任一者的實質上完整長度。在圖1中,第一間隙140沿著第一充氣室結構106及第二充氣室結構108的完整長度而維持恆定,但在其他實施例中,第一間隙140可為可變的,例如,隨著與第一氣體分配器之中心的距離增加而於尺寸上擴大或變窄。第一間隙140可例如具有下列的一或更多數值:在一些實施例中介於2 mm至40 mm之間、在一些實施例中介於4 mm至36 mm之間、在一些實施例中介於6 mm至32 mm之間、在一些實施例中介於8 mm至28 mm之間、在一些實施例中介於10 mm至24 mm之間、在一些實施例中介於12 mm至20 mm之間、且在一些實施例中介於14 mm至16 mm之間。此等第一間隙範圍可適用於本文所討論之實施例之任一者。
顯示為沿著各管件之長度而分配的氣體分配埠在此範例中係彼此等距地相間隔。在一些實施例中,氣體分配埠可以不同的方式加以配置,例如具有隨著氣體充氣室結構之氣體入口與氣體分配埠之間的流動路徑距離增加而逐漸增加或減小的間距、或逐漸增加或減小的直徑,俾調整來自各個個別氣體分配埠之氣體的流率,並因此調整半導體晶圓表面各處之氣體分配的均勻度。
第一充氣室結構106及第二充氣室結構108可具有各種橫剖面形狀。在一些實施例中,第一充氣室結構106及第二充氣室結構108可具有中空的正方形或方圓形(具有圓角的正方形)形狀,例如橫剖面136A。在其他實施例中,第一充氣室結構106及第二充氣室結構108可具有如橫剖面136B的圓形橫剖面或橢圓形橫剖面136C。在其他實施例中,第一充氣室結構106及第二充氣室結構108可具有淚滴形(lachrymiform)、或淚滴形(tear-drop shaped)的橫剖面136D (在一些實施例中,其可具有相反的橫剖面,其中較大直徑部分朝上配置,而較小直徑部分朝下配置;此範例中之氣體分配埠會位在朝下配置的較小直徑部分)。
圖2為依據本揭示內容之範例第一氣體分配器及第二氣體分配器的側視剖面圖。在圖2的設備中,設置與圖1之第一氣體分配器相似的第一氣體分配器202;該設備亦包含定位於第一氣體分配器202上方的第二氣體分配器204。第一氣體分配器202包含第一充氣室結構206及第二充氣室結構208。第一充氣室結構206界定第一充氣室容積216,且具有與其連接的複數第一氣體分配埠226,該複數第一氣體分配埠226與第一充氣室容積216流體連通。相似地,第二充氣室結構208界定第二充氣室容積218,且具有與其連接的複數第二氣體分配埠228,該複數第二氣體分配埠228與第二充氣室容積218流體連通。第一氣體分配埠226及第二氣體分配埠228係大體上配置以使氣體沿著第一平均方向238(例如向下)流動。
如圖2所示,第二氣體分配器204可包含界定(在主要部分上)隔離氣體結構214的面板276、週邊側壁274、及背板268,該隔離氣體結構214包含隔離氣體充氣室容積224。背板268可包含一隔離氣體入口270,隔離氣體可通過該隔離氣體入口270而流入隔離氣體充氣室容積224中,並接著通過複數隔離氣體埠234而流出。
從圖2中可看出,藉由第一間隙240而使第一充氣室結構206與第二充氣室結構208相隔開,且藉由第二間隙242而使第一氣體分配埠226及第二氣體分配埠228的出口平面與隔離氣體埠234的出口平面偏離。可使隔離氣體(虛線箭頭)流過隔離氣體充氣室容積224、通過隔離氣體埠234而流出、並通過第一氣體分配器202。歸因於第一充氣室結構206及第二充氣室結構208的圓形橫剖面形狀,隔離氣體可在它們周圍流動而不會產生許多(若有的話)紊流或迴流,從而使從第一氣體分配器202流過之製程氣體沉積於其表面上的機會減小。在一些實施例中,第二間隙242可具有在6 mm至30mm之範圍內的數值,但若需要,其他實施例可具有其他的第二間隙數值。此等數值亦適用於本文所討論之其他實施例。
圖3為依據本揭示內容之範例第一氣體分配器及第二氣體分配器的側視剖面圖。第一氣體分配器302係相似於第一氣體分配器202,且前述所提供的第一氣體分配器202之討論同樣適用於第一氣體分配器302。第二氣體分配器304具有與第二氣體分配器204不同的設計,並且實際上係相似於第一氣體分配器302。然而,第二氣體分配器可具有單一的充氣室容積324(而非兩個個別的充氣室容積),其係由隔離氣體充氣室結構314所界定。例如,隔離氣體充氣室結構314在外觀上可與雙螺旋的第一充氣室結構106及第二充氣室結構108大致相同,除了以下不同之處:兩充氣室結構在中心處流體連接、或可彼此流體分離但從兩個個別入口饋送相同的隔離氣體。隔離氣體可通過隔離氣體埠334而流出,並流至第一氣體分配器302上/通過第一氣體分配器302。
應理解,雖然前述範例針對第一氣體分配器而使用螺旋形充氣室結構,但可利用各種充氣室結構之任一者以實行本文所討論之概念。然而,所使用的各個充氣室結構必須 a)設置以將氣體大體上分配於晶圓表面上且 b)大體上具有當沿第一平均方向(例如沿垂直方向)觀看時保持於其與任何相鄰充氣室結構之間的間隙(用以提供不同類型的製程氣體)。
圖4為依據本揭示內容之另一範例第一氣體分配器的俯視局部視圖。圖4的第一氣體分配器402具有兩個弧形的、梳形的充氣室結構:第一充氣室結構406及第二充氣室結構408,其各自包含弧形通道,該弧形通道將氣體分別從第一氣體入口462及第二氣體入口464分配至「指狀」通道,該等指狀通道彼此交錯且分別包含第一氣體分配埠426及第二氣體分配埠428。第一充氣室結構406的弧形通道及指狀通道提供第一充氣室容積416,而第二充氣室結構408的弧形通道及指狀通道提供第二充氣室容積418。可看出,在第一充氣室結構406與第二充氣室結構408之間保持第一間隙440,然而第一間隙440在許多位置於尺寸上有所變化,例如在各個「指部」的尖端附近。
圖5為與圖4所示之第一氣體分配器相似的範例第一氣體分配器的等角視圖。在圖5中,如同第一氣體分配器402,第一氣體分配器502包含第一充氣室結構506及第二充氣室結構508,其各者係分別經由相應的第一氣體入口562及第二氣體入口564而供應氣體。相對於前述所討論的螺旋管實施例,圖5之實施例可例如藉由以下方式而實現:分別地加工各個充氣室結構(例如,加工成上半部及下半部,以容許對內部充氣室容積進行加工;可接著對該兩半部進行銅焊或其他處理以使其連接在一起而成為各充氣室結構之單件)。此等結構因具有可能較厚的壁厚度及較短的懸臂長度而可為較堅硬且較穩定的。在一些實施例中,此等充氣室結構可以附加方式製造(例如利用直接雷射金屬燒結或相似處理),俾將各個充氣室結構製造成單一的單體部件。顯然地,本文所討論的氣體分配器可由各種不同的加工或製造處理之任一者製成。
圖6為與圖5所示之第一氣體分配器相似但為一單體結構的另一範例單體第一氣體分配器的等角視圖。圖7為圖6之範例單體第一氣體分配器的等角局部剖視圖。在圖6及7中,與圖5的唯一差別在於:第一氣體分配器502'包含複數結構支撐件560,其跨於兩個充氣室結構之間,形成單一、連接的第一氣體分配器502'。應理解,即使第一氣體分配器502為單一連接部件,但第一充氣室結構506與第二充氣室結構508仍係沿著其完整長度經由第一間隙540而隔開。應將結構支撐件560理解成不被視為任一充氣室結構的部分(即使相連地連接於其上),而是橋接於兩個充氣室結構之間的連結或連接結構。
圖8為依據本揭示內容之另一範例第一氣體分配器的俯視局部視圖。圖8中所示之第一氣體分配器802與圖1中所示者大致相同,但包含額外的兩個充氣室結構。因此,第一氣體分配器802包含第一充氣室結構806、第二充氣室結構808、第三充氣室結構810、及第四充氣室結構812,其分別界定第一充氣室容積816、第二充氣室容積818、第三充氣室容積820、及第四充氣室容積822。每一充氣室容積係經由相應的氣體入口而被提供製程氣體,並經由複數氣體分配埠而將製程氣體分配於半導體晶圓上(其概略覆蓋區係由虛線邊界482所示)。因此,例如,第一充氣室容積816係經由第一氣體入口862而被提供第一製程氣體並接著經由第一氣體分配埠826而分配第一製程氣體,第二充氣室容積818係經由第二氣體入口864而被提供第二製程氣體並接著經由第二氣體分配埠828而分配第二製程氣體,第三充氣室容積820係經由第三氣體入口866而被提供第三製程氣體並接著經由第三氣體分配埠830而分配第三製程氣體,並且第四充氣室容積822係經由第四氣體入口868而被提供第四製程氣體並接著經由第四氣體分配埠832而分配第四製程氣體。
在第一氣體分配器802中,各個充氣室容積皆係相對於彼此而由結構支撐件860所支撐,該結構支撐件860可被熔接、銅焊、或以其他方式連接至各個充氣室容積,從而為組合的第一氣體分配器802提供剛度和支撐,同時幾乎不(若有的話)干擾來自第二氣體分配器(未圖示)之隔離氣體的向下流動,其中該第二氣體分配器係定位於第一氣體分配器802上方。來自第二氣體分配器的隔離氣體可通過存在於各毗鄰對的充氣室結構之間的第一間隙840而流動於充氣室結構之間,因此在各充氣室結構之間提供連續的隔離氣體簾。此等隔離氣體簾可大體上使各充氣室結構與從相鄰充氣室結構流出之氣體「隔開」,從而避免此等氣體混合並在充氣室結構下側上形成不樂見的沉積產物。隔離氣體流亦可用於減少或避免從各充氣室結構流出的製程氣體之迴流,從而於起初避免或減輕以下情況:該等製程氣體吸附於充氣室結構下側上。
圖9為併入本揭示內容中所討論之概念的範例氣體分配系統之側視圖。圖10為圖9之範例氣體分配系統的底視圖。圖11為圖9之範例氣體分配系統的立體局部剖視圖。
如圖9中可見,提供包含第一氣體分配器902及第二氣體分配器904的設備900。第一氣體分配器902包含第一充氣室結構906,其係經由一管件而被提供第一製程氣體,其中該管件具有與第一氣體入口962流體連接的第一升管段952及第一徑向段956。相似地,第一氣體分配器902包含第二充氣室結構908,其係經由一管件而被提供第二製程氣體,其中該管件具有與第二氣體入口964流體連接的第二升管段954及第二徑向段958。流入第一充氣室結構906及第二充氣室結構908的製程氣體可分別透過對應的第一氣體分配埠926及第二氣體分配埠928而從該等相應的充氣室結構流出。當沿第一平均方向938(例如向下)觀看時,第一充氣室結構906及第二充氣室結構908可經由第一間隙940而與彼此相隔開。第一平均方向938可例如由離開第一氣體分配器之氣體的平均流動方向所界定、或者更加概括地可界定為實質上垂直。
第一充氣室結構906及第二充氣室結構908可相對於彼此而選用性地藉由結構支撐件960加以支撐,該等結構支撐件960可例如為薄的(例如0.1” ± 0.05”)金屬條,其被熔接或銅焊於充氣室結構。在諸如所繪示者之螺旋型充氣室結構中,此等結構支撐件960可提供額外的剛度,其可抵消螺旋管結構中之下垂和彎曲現象(因為螺旋管實際上為長度等於螺旋長度的懸臂樑,因此其可能經歷顯著的撓曲作用,除非設有中間支撐件,例如結構支撐件960)。
第二充氣室結構908可例如為具有一面板的噴淋頭,該面板具有複數隔離氣體埠934。第二充氣室結構可藉由支撐柱996而加以支撐,支撐柱996亦用作一導管,用以將隔離氣體從隔離氣體入口970傳導至第二氣體分配器904內部的隔離氣體充氣室,使得隔離氣體可接著通過隔離氣體埠934而流出。
在所示實施例中,支撐柱996係與軸環992分開的獨立元件,支撐柱996提供流動路徑以使第一氣體入口962及第二氣體入口964與相應的第一徑向段956及第二徑向段958連接。此容許第二氣體分配器904相對於第一氣體分配器902而垂直上升或下降,從而使第二間隙942增加或減小,該第二間隙942存在於第一氣體分配埠926及第二氣體分配埠928之出口平面與隔離氣體埠934之出口平面之間。可提供密封994以促成支撐柱996與軸環992之間氣密滑動界面。
圖12為圖9之範例氣體分配系統的側視圖,其中第二氣體分配器處於上升狀態。圖13為圖9之範例氣體分配系統的側視圖,其中第二氣體分配器處於下降狀態。如上所討論,可對圖9–11之實施例進行調整,以使第二氣體分配器904相對於第一氣體分配器902而下降或上升。在其他實施例中,第一氣體分配器902可為可移動的,而第二氣體分配器904可為固定的,俾提供此等調整,或者第一氣體分配器902及第二氣體分配器904兩者皆可為可垂直移動的。在一些進一步實施例中,第二間隙942可能係不可調整的,例如第二間隙942在尺寸上可為固定的。
因此,例如,可將第二間隙942由圖12所示之近最大第二間隙942調整至圖13所示之近最小第二間隙942'(其中最大值與最小值係基於所繪示之配置而評估;其他實施例可具有其他最大值與最小值)。
本申請案中所討論之設備可為較大型設備或系統(例如半導體處理腔室)的一部份。在一些實施例中,本文所討論之設備實施例的若干範例可用於較大型設備或系統中,例如具有多個此等半導體處理腔室的半導體處理工具,每個半導體處理腔室具有其自身的本文所述之第一及第二氣體分配器。
圖14為安裝於半導體處理腔室中的圖9之範例氣體分配系統的側視圖。在圖14中,軸環992被安裝於半導體處理腔室978中,其容許第一氣體分配器902及第二氣體分配器904懸掛於半導體處理腔室978內、晶圓支撐件或底座980上方,晶圓支撐件或底座980可用以支撐在第一氣體分配器902下方的半導體晶圓或其他基板982。當完全安裝時,第一氣體入口962可與第一製程氣體源986流體連接,第二氣體入口964可與第二製程氣體源988流體連接,且隔離氣體入口970可與隔離氣體源984流體連接。由各氣體源至其相應入口的流動路徑可透過一或更多閥而加以控制,俾使進入各個充氣室之氣體流動能夠被單獨地控制。
在一些實施例中,可包含控制器,其可為上述範例的部分。除了本文所討論之第一氣體分配器及第二氣體分配器之外,此等系統還可包含半導體處理設備,包括一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓底座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。該等電子裝置可稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理需求及/或系統類型,可將控制器程式化以控制本文中所揭示之處理的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施中,該等操作參數可為由製程工程師所定義之配方的部分,該配方係用以在基板之一或更多的膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間,完成一或更多的處理步驟。
例如,在一些實施例中,可配置控制器以操作電腦可操作閥(例如沿圖14所示之流動路徑而定位的閥),俾控制來自上述各種氣體源的氣體流動。例如,控制器可在使來自第一製程氣體源986的第一製程氣體或來自第二製程氣體源988的第二製程氣體開始流過其相應的充氣室容積之前,使來自隔離氣體源984的隔離氣體開始流過隔離氣體充氣室容積達一段預定時間,從而建立流過第一充氣室結構906與第二充氣室結構908之間的第一間隙的隔離氣體簾中之穩定流動。在達到此等穩定流動之後,控制器可對控制第一製程氣體流及第二製程氣體流的閥進行控制,使得第一製程氣體及第二製程氣體被交替地輸送至其相應的充氣室容積。例如,控制器可使控制第一製程氣體流的閥進入開啟狀態達一段預定時間(例如,百分之幾秒至可能若干秒),同時使控制第二製程氣體流的類似閥在該相同時段期間進入關閉狀態。控制器可接著使控制第一製程氣體流的閥進入關閉狀態,從而使進入相應充氣室容積的第一製程氣體之流動停止。在一些實施例中,控制器可接著使控制第一及第二製程氣體的閥兩者皆保持於關閉狀態達另一段預定時間,俾使隔離氣體能夠隔離晶圓上方之任何駐留第一製程氣體的空間。控制器可接著使控制第二製程氣體流的閥進入開啟狀態達第二時段,同時使控制第一製程氣體流的閥進入或維持關閉狀態。在第二製程氣體已輸送達該第二時段之後,在一些實施例中,控制器可接著使第一製程氣體及第二製程氣體兩者之閥進入關閉狀態,同時將隔離氣體閥保持開啟,從而對晶圓上方之任何駐留第二製程氣體的空間進行吹驅。此循環可重複多次,直到半導體處理操作完成為止。
在一些實施例中,控制器可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許基板處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前進度、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,俾改變目前處理之參數,以設定處理步驟而接續目前的處理、或開始新的處理。在一些範例中,遠端電腦(如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應理解,該等參數可特定於待執行之處理的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文中所敘述之處理及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的處理。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據將藉由工具執行之(複數)處理步驟,控制器可與半導體製造工廠中之下列一或更多者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接之工具、鄰近之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。
應理解,除非進一步限定,否則用語「組」指涉一組的一或更多項目,其並不要求存在多個項目,除非有進一步語言暗示其存在多個項目。例如,「一組的二或更多項目」會被理解為其中至少有兩個項目。相對地,「一組的一或更多項目」會被理解為其中可能僅有一個項目。相似地,應理解,用語「每一」在本文中可用以指涉一組的每一成員,即使該組僅包含一個成員。用語「每一」亦可以相同方式與隱晦的組一同使用,例如未使用用語「組」但其他語言暗示存在組之情況。例如,「一或更多項目的每一項目」應理解為等同於「一或更多項目之組中的每一項目」。
應理解,雖然以上揭示內容著重於一或更多的特定例示性實施例,但其並不僅限於所討論之範例,而是亦可應用於相似的變形例及機制,且此等相似的變形例及機制亦被視為落於本揭示內容之範疇內。
102:第一氣體分配器 106:第一充氣室結構 108:第二充氣室結構 116:第一充氣室容積 118:第二充氣室容積 126:第一氣體分配埠 128:第二氣體分配埠 136A:橫剖面 136B:橫剖面 136C:橫剖面 136D:橫剖面 140:第一間隙 160:結構支撐件 162:第一氣體入口 164:第二氣體入口 202:第一氣體分配器 204:第二氣體分配器 206:第一充氣室結構 208:第二充氣室結構 214:隔離氣體結構 216:第一充氣室容積 218:第二充氣室容積 224:隔離氣體充氣室容積 226:第一氣體分配埠 228:第二氣體分配埠 234:隔離氣體埠 238:第一平均方向 240:第一間隙 242:第二間隙 268:背板 270:隔離氣體入口 274:週邊側壁 276:面板 302:第一氣體分配器 304:第二氣體分配器 314:隔離氣體充氣室結構 324:充氣室容積 334:隔離氣體埠 402:第一氣體分配器 406:第一充氣室結構 408:第二充氣室結構 416:第一充氣室容積 418:第二充氣室容積 426:第一氣體分配埠 428:第二氣體分配埠 440:第一間隙 462:第一氣體入口 464:第二氣體入口 482:虛線邊界 502:第一氣體分配器 506:第一充氣室結構 508:第二充氣室結構 540:第一間隙 560:結構支撐件 562:第一氣體入口 564:第二氣體入口 802:第一氣體分配器 806:第一充氣室結構 808:第二充氣室結構 810:第三充氣室結構 812:第四充氣室結構 816:第一充氣室容積 818:第二充氣室容積 820:第三充氣室容積 822:第四充氣室容積 826:第一氣體分配埠 828:第二氣體分配埠 830:第三氣體分配埠 832:第四氣體分配埠 840:第一間隙 860:結構支撐件 862:第一氣體入口 864:第二氣體入口 866:第三氣體入口 868:第四氣體入口 900:設備 902:第一氣體分配器 904:第二氣體分配器 906:第一充氣室結構 908:第二充氣室結構 926:第一氣體分配埠 928:第二氣體分配埠 934:隔離氣體埠 938:第一平均方向 940:第一間隙 942:第二間隙 952:第一升管段 954:第二升管段 956:第一徑向段 958:第二徑向段 960:結構支撐件 962:第一氣體入口 964:第二氣體入口 970:隔離氣體入口 978:半導體處理腔室 980:晶圓支撐件或底座 982:半導體晶圓或其他基板 984:隔離氣體源 986:第一製程氣體源 988:第二製程氣體源 992:軸環 994:密封 996:支撐柱
本文所揭示之各種實施例在隨附圖式之示圖中係作為範例(而非作為限制)而加以說明,在該等示圖中,相似的參考符號指涉相似的元件。
圖1為依據本揭示內容之範例第一氣體分配器的俯視局部視圖。
圖2為依據本揭示內容之範例第一氣體分配器及第二氣體分配器的側視剖面圖。
圖3為依據本揭示內容之範例第一氣體分配器及第二氣體分配器的側視剖面圖。
圖4為依據本揭示內容之另一範例第一氣體分配器的俯視局部視圖。
圖5為與圖4所示之第一氣體分配器相似的範例第一氣體分配器的等角視圖。
圖6為與圖5所示之第一氣體分配器相似但為一單體結構的另一範例單體第一氣體分配器的等角視圖。
圖7為圖6之範例單體第一氣體分配器的等角局部剖視圖。
圖8為依據本揭示內容之另一範例第一氣體分配器的俯視局部視圖。
圖9為併入本揭示內容中所討論之概念的範例氣體分配系統之側視圖。
圖10為圖9之範例氣體分配系統的底視圖。
圖11為圖9之範例氣體分配系統的立體局部剖視圖。
圖12為圖9之範例氣體分配系統的側視圖,其中第二氣體分配器處於上升狀態。
圖13為圖9之範例氣體分配系統的側視圖,其中第二氣體分配器處於下降狀態。
圖14為安裝於半導體處理腔室中的圖9之範例氣體分配系統的側視圖。
圖式僅繪示本文所討論之概念,並且可輕易理解,本文所討論之概念可在大量替代的實施例中加以實施,所有的該等實施例皆被視為在本揭示內容之範圍內。
902:第一氣體分配器
904:第二氣體分配器
906:第一充氣室結構
908:第二充氣室結構
926:第一氣體分配埠
928:第二氣體分配埠
934:隔離氣體埠
938:第一平均方向
940:第一間隙
942:第二間隙
952:第一升管段
954:第二升管段
956:第一徑向段
958:第二徑向段
960:結構支撐件
962:第一氣體入口
964:第二氣體入口
970:隔離氣體入口
992:軸環
994:密封

Claims (20)

  1. 一種用於半導體處理之設備,包含: 一第一氣體分配器,包含: 複數充氣室結構及多組氣體分配埠,每一組氣體分配埠與對應的充氣室結構連接,且每一充氣室結構包含對應的充氣室容積,其中: 該多組氣體分配埠包含第一組的第一氣體分配埠及第二組的第二氣體分配埠, 該複數充氣室結構包括包含第一充氣室容積的第一充氣室結構、及包含第二充氣室容積的第二充氣室結構, 該等第一氣體分配埠係與該第一充氣室結構連接,且係配置以沿著第一平均方向引導從該第一充氣室容積流過該等第一氣體分配埠的氣體, 該等第二氣體分配埠係與該第二充氣室結構連接,且係配置以沿著第二平均方向引導從該第二充氣室容積流過該等第二氣體分配埠的氣體,該第二平均方向具有與該第一平均方向對齊的分量,並且 當沿該第一平均方向觀看時,該第一充氣室結構與該第二充氣室結構係經由至少一第一間隙而與彼此相隔開;以及 一第二氣體分配器,包含一隔離氣體充氣室容積及複數隔離氣體埠,該複數隔離氣體埠與該隔離氣體充氣室容積流體連通,其中: 將該第二氣體分配器定位成使得該等隔離氣體埠介於該隔離氣體充氣室容積與該第一氣體分配器之間,並且 該等隔離氣體埠係配置以使從該隔離氣體充氣室容積流過該等隔離氣體埠的氣體朝向該第一氣體分配器流動並通過該第一間隙。
  2. 如申請專利範圍第1項之用於半導體處理之設備,其中該第一間隙為至少2 mm。
  3. 如申請專利範圍第1項之用於半導體處理之設備,其中該第一間隙為介於2 mm至40 mm之間。
  4. 如申請專利範圍第1項之用於半導體處理之設備,其中: 該第一充氣室結構包含第一螺旋管,且該第二充氣室結構包含第二螺旋管, 該第一螺旋管及該第二螺旋管位於相同平面中,並且 該第一螺旋管及該第二螺旋管具有實質上相似的節距,且係相對於彼此而以徑向陣列的方式設置。
  5. 如申請專利範圍第1項之用於半導體處理之設備,其中該第一充氣室結構及該第二充氣室結構各自係由固體材料之板件加工而成,且該第一充氣室結構及該第二充氣室結構的邊緣係經圓化,其半徑為至少1 mm。
  6. 如申請專利範圍第1項之用於半導體處理之設備,更包含複數結構支撐件,每一結構支撐件將該等充氣室結構之二或更多者連接在一起。
  7. 如申請專利範圍第1項之用於半導體處理之設備,其中該等充氣室結構圍繞共同的中心軸而以等間距徑向陣列的方式設置。
  8. 如申請專利範圍第1項之用於半導體處理之設備,其中每一充氣室結構係由一或更多管狀結構所組成,該一或更多管狀結構沿著對應的一或更多路徑而延伸。
  9. 如申請專利範圍第8項之用於半導體處理之設備,其中每一管狀結構在橫剖面位置處、於垂直於該對應的一或更多路徑的平面中具有一外橫剖面,該外橫剖面係選自由下列各者所組成的群組:圓形橫剖面、長圓形(obround)橫剖面、橢圓形橫剖面、及淚滴形(lachrymiform)橫剖面。
  10. 如申請專利範圍第8項之用於半導體處理之設備,其中該第一間隙沿著該一或更多路徑而變化。
  11. 如申請專利範圍第8項之用於半導體處理之設備,其中與各個充氣室容積連接的該等氣體分配埠係沿著該對應的一或更多路徑而設置。
  12. 如申請專利範圍第1項之用於半導體處理之設備,其中該第一氣體分配器中的各個充氣室容積係透過對應的流體通道而與對應的氣體入口流體連接,該對應的氣體入口係定位使得該第二氣體分配器介於該對應的氣體入口與該第一氣體分配器之間。
  13. 如申請專利範圍第12項之用於半導體處理之設備,其中該第一氣體分配器及該第二氣體分配器係配置以相對於彼此而沿著該第一平均方向移動。
  14. 如申請專利範圍第11項之用於半導體處理之設備,其中: 各個充氣室結構包含對應的氣體入口,並且 沿著各個路徑而設置的該等氣體分配埠隨著起自該對應的氣體入口之流動路徑距離增加而以減小的距離與彼此相間隔。
  15. 如申請專利範圍第11項之用於半導體處理之設備,其中: 各個充氣室結構包含對應的氣體入口,並且 沿著各個路徑而設置的該等氣體分配埠的尺寸大體上隨著起自該對應的氣體入口的流動路徑距離增加而增加。
  16. 如申請專利範圍第1項之用於半導體處理之設備,其中: 該第二氣體分配器具有界定該隔離氣體充氣室容積的一背板、一週邊側壁、及一面板, 該週邊側壁係介於該背板與該面板之間,並且 該等隔離氣體埠係由穿過且分佈遍及該面板的複數孔洞所提供。
  17. 如申請專利範圍第1項之用於半導體處理之設備,更包含: 一半導體處理腔室;以及 一晶圓支撐件,其位在該半導體處理腔室內,其中: 使該第一氣體分配器及該第二氣體分配器在該晶圓支撐件上方居中。
  18. 如申請專利範圍第17項之用於半導體處理之設備,更包含: 一隔離氣體源,其係配置以將隔離氣體提供至該隔離氣體充氣室容積; 一第一製程氣體源,其係配置以將第一製程氣體提供至該第一充氣室容積;以及 一第二製程氣體源,其係配置以將第二製程氣體提供至該第二充氣室容積,其中: 該第一製程氣體及該第二製程氣體為不同的,並且 該隔離氣體對於該第一製程氣體及該第二製程氣體為不具反應性的。
  19. 一種用於半導體處理之方法,包含: 使一或更多製程氣體從第一氣體分配器流出,該第一氣體分配器包含: 複數充氣室結構及多組氣體分配埠,每一組氣體分配埠與對應的充氣室結構連接,且每一充氣室結構包含對應的充氣室容積,其中: 該多組氣體分配埠包含第一組的第一氣體分配埠及第二組的第二氣體分配埠, 該複數充氣室結構包括包含第一充氣室容積的第一充氣室結構、及包含第二充氣室容積的第二充氣室結構, 該等第一氣體分配埠係與該第一充氣室結構連接,且係配置以沿著第一平均方向引導從該第一充氣室容積流過該等第一氣體分配埠的氣體, 該等第二氣體分配埠係與該第二充氣室結構連接,且係配置以沿著該第一平均方向引導從該第二充氣室容積流過該等第二氣體分配埠的氣體,並且 當沿該第一平均方向觀看時,該第一充氣室結構與該第二充氣室結構係經由至少一第一間隙而與彼此相隔開;以及 在製程氣體從該第一氣體分配器流出時使一隔離氣體從第二氣體分配器流出,該第二氣體分配器包含一隔離氣體充氣室容積及複數隔離氣體埠,該複數隔離氣體埠與該隔離氣體充氣室容積流體連通,其中: 將該第二氣體分配器定位成使得該等隔離氣體埠介於該隔離氣體充氣室容積與該第一氣體分配器之間,並且 該等隔離氣體埠係配置以使從該隔離氣體充氣室容積流過該等隔離氣體埠的氣體朝向該第一氣體分配器流動並通過該第一間隙。
  20. 如申請專利範圍第19項之用於半導體處理之方法,其中: 使第一製程氣體通過該等第一氣體分配埠而流出該第一充氣室容積,同時使第二製程氣體通過該等第二氣體分配埠而流出該第二充氣室容積,並且 該第一製程氣體對於該第二製程氣體為具反應性的。
TW108116660A 2018-05-17 2019-05-15 具有氣隙隔離充氣室的噴淋頭及高架式隔離氣體分配器 TWI797332B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/982,913 2018-05-17
US15/982,913 US10472716B1 (en) 2018-05-17 2018-05-17 Showerhead with air-gapped plenums and overhead isolation gas distributor

Publications (2)

Publication Number Publication Date
TW202012695A TW202012695A (zh) 2020-04-01
TWI797332B true TWI797332B (zh) 2023-04-01

Family

ID=68466361

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111127487A TW202243085A (zh) 2018-05-17 2019-05-15 具有氣隙隔離充氣室的噴淋頭及高架式隔離氣體分配器
TW108116660A TWI797332B (zh) 2018-05-17 2019-05-15 具有氣隙隔離充氣室的噴淋頭及高架式隔離氣體分配器

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111127487A TW202243085A (zh) 2018-05-17 2019-05-15 具有氣隙隔離充氣室的噴淋頭及高架式隔離氣體分配器

Country Status (4)

Country Link
US (2) US10472716B1 (zh)
CN (2) CN115354302A (zh)
TW (2) TW202243085A (zh)
WO (1) WO2019222066A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
US11970775B2 (en) * 2018-08-10 2024-04-30 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
US11186910B2 (en) * 2018-09-14 2021-11-30 Applied Materials, Inc. Apparatus for multi-flow precursor dosage
JP2023513001A (ja) * 2020-01-29 2023-03-30 ラム リサーチ コーポレーション 斜行流路を有するガス分配フェースプレート
US20230235458A1 (en) * 2020-07-24 2023-07-27 Lam Research Corporation Showerhead with reduced interior volumes
CN114783907B (zh) * 2022-03-24 2022-10-11 盛吉盛半导体科技(北京)有限公司 一种硅晶圆反应设备
CN115125517B (zh) * 2022-06-23 2023-09-08 北京北方华创微电子装备有限公司 气体分配装置及半导体工艺设备
WO2024059684A1 (en) * 2022-09-15 2024-03-21 Lam Research Corporation Showerhead faceplates

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US9644267B2 (en) * 2007-10-16 2017-05-09 Applied Materials, Inc. Multi-gas straight channel showerhead
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4008644B2 (ja) * 2000-06-14 2007-11-14 株式会社日立国際電気 半導体製造装置
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
EP3471130A1 (en) * 2008-12-04 2019-04-17 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US9129778B2 (en) * 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9328419B2 (en) * 2012-04-18 2016-05-03 Hermes-Epitek Corporation Gas treatment apparatus with surrounding spray curtains
US9447499B2 (en) * 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9353440B2 (en) * 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10023959B2 (en) * 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10233543B2 (en) * 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10358722B2 (en) 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
TWI689619B (zh) 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US9644267B2 (en) * 2007-10-16 2017-05-09 Applied Materials, Inc. Multi-gas straight channel showerhead
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Also Published As

Publication number Publication date
KR20220109490A (ko) 2022-08-04
KR20200145839A (ko) 2020-12-30
WO2019222066A1 (en) 2019-11-21
US10745806B2 (en) 2020-08-18
TW202243085A (zh) 2022-11-01
CN115354302A (zh) 2022-11-18
CN112136206A (zh) 2020-12-25
US20200063261A1 (en) 2020-02-27
US10472716B1 (en) 2019-11-12
US20190352777A1 (en) 2019-11-21
TW202012695A (zh) 2020-04-01

Similar Documents

Publication Publication Date Title
TWI797332B (zh) 具有氣隙隔離充氣室的噴淋頭及高架式隔離氣體分配器
US12000047B2 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
JP7395644B2 (ja) 基板処理システムにおける再循環を低減するためのカラー、円錐形シャワーヘッド、および/または、トッププレート
US11443975B2 (en) Planar substrate edge contact with open volume equalization pathways and side containment
JP7514245B2 (ja) 急速交互プロセスにおいて均一性を向上させるためのマルチロケーションガス注入
KR20210008919A (ko) 냉각된 대면 플레이트를 갖는 샤워헤드를 갖는 기판 프로세싱 챔버
KR102688788B1 (ko) 에어 갭 (air-gapped) 플레넘들 및 오버헤드 격리 가스 분배기를 갖는 샤워헤드
KR102688722B1 (ko) 에어 갭 (air-gapped) 플레넘들 및 오버헤드 격리 가스 분배기를 갖는 샤워헤드
KR20240117652A (ko) 에어 갭 (air-gapped) 플레넘들 및 오버헤드 격리 가스 분배기를 갖는 샤워헤드
US20230402259A1 (en) Localized plasma arc prevention via purge ring
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
US11542598B2 (en) Systems and methods for pulse width modulated dose control
TW202339551A (zh) 用於改善沉積厚度均勻性的噴淋頭組件及基板處理系統
WO2024076478A1 (en) Showerhead gas inlet mixer
CN118382919A (zh) 用于平衡流向衬底处理系统的多个站的气体流量的阀系统
KR20210114552A (ko) ALD (Atomic Layer Deposition) 기판 프로세싱 챔버들의 막 특성들을 조절하기 위한 페데스탈들
CN117352444A (zh) 具有清扫机构的主轴和升降销驱动组件