CN118382919A - 用于平衡流向衬底处理系统的多个站的气体流量的阀系统 - Google Patents

用于平衡流向衬底处理系统的多个站的气体流量的阀系统 Download PDF

Info

Publication number
CN118382919A
CN118382919A CN202280082416.5A CN202280082416A CN118382919A CN 118382919 A CN118382919 A CN 118382919A CN 202280082416 A CN202280082416 A CN 202280082416A CN 118382919 A CN118382919 A CN 118382919A
Authority
CN
China
Prior art keywords
flow
stations
valve
inert gas
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280082416.5A
Other languages
English (en)
Inventor
迈克尔·菲利普·罗伯茨
巴拉斯·库马尔·施萨拉曼
瑞安·布拉基埃
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN118382919A publication Critical patent/CN118382919A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Flow Control (AREA)
  • Valve Housings (AREA)

Abstract

一种衬底处理系统包括N个阀系统,其分别连接到N个站。每个阀系统包含:歧管块体、多个阀和流量控制设备。歧管块体包含接收处理气体和惰性气体的入口、连接至站的出口、以及被配置在所述歧管块体内并连接至所述入口和所述出口的多个气体流动通道。所述阀被安装至所述歧管块体并控制流过所述出口的所述处理气体和所述惰性气体的流量。所述流量控制设备被安装至所述歧管块体并控制流过所述歧管块体而到达所述N个站中的一站内的所述惰性气体的流量。所述N个阀系统中的每一个的所述流量控制设备被校准以平衡所述N个站中的所述惰性气体的所述流量。

Description

用于平衡流向衬底处理系统的多个站的气体流量的阀系统
相关申请交叉引用
本申请要求2021年12月13日提交的美国临时申请No.63/288,794的权益。上述申请的全部公开内容通过引用并入本文。
技术领域
本公开内容总体上涉及衬底处理系统,更具体而言,本公开内容涉及用于平衡流向衬底处理系统的多个站的气体流量的阀系统。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分中描述的范围内的当前指定的发明人的工作以及在提交申请时不能确定为现有技术的说明书的各方面既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统通常包含多个站(也称为处理室或处理模块),其在例如半导体晶片之类的衬底上执行沉积、蚀刻和其他处理。可以在衬底上执行的处理的示例包含化学气相沉积(CVD)处理、化学增强等离子体气相沉积(CEPVD)处理、等离子体增强化学气相沉积(PECVD)处理、溅射物理气相沉积(PVD)处理、原子层沉积(ALD)和等离子体增强ALD(PEALD)。可以在衬底上执行的处理的额外示例包含但不限于蚀刻(例如化学蚀刻、等离子体蚀刻、反应性离子蚀刻、原子层蚀刻(ALE)、等离子体增强ALE(PEALE)等)和清洁处理。
在处理期间,衬底布置在例如站里的基座的衬底支撑件上。在沉积期间,包含一或多种前体的气体混合物被引入站中,且可以可选地激励等离子体以激活化学反应。在蚀刻期间,包含蚀刻气体的气体混合物被引入站中,且可以可选地激励等离子体以激活化学反应。计算机控制的机械手通常按照将进行处理的顺序将衬底从一站传送到另一站。
原子层沉积(ALD)是一种薄膜沉积方法,其顺序地执行气态化学处理,以在材料的表面(例如半导体晶片之类的衬底的表面)沉积薄膜。大多数ALD反应会使用一或多种称为前体(反应物)的化学物质,这些化学物质以连续、自限的方式与材料表面发生反应。提供前体的步骤称为配料步骤。通常,清扫步骤在两个连续的配料步骤之间进行。通过反复接触前体,材料表面逐渐沉积薄膜。热ALD(T-ALD)在被加热的处理室中进行。使用真空泵及受控的惰性气体流量将处理室保持在低于大气压的压强下。将待涂覆ALD膜的衬底放置在处理室中,并允许在开始ALD处理之前与处理室的温度平衡。原子层蚀刻包含在自限性化学改性步骤和蚀刻步骤之间交替的顺序。化学改性步骤仅影响衬底的顶部原子层。蚀刻步骤仅从衬底上移除化学改性区域。该顺序允许从衬底上移除单个原子层。
发明内容
一种衬底处理系统包括N个站和N个阀系统,其中N是大于1的整数。N个站被配置为对衬底执行处理。N个阀系统分别连接到N个站。所述N个阀系统中的每一个包含:歧管块体、多个阀和流量控制设备。歧管块体包含接收处理气体和惰性气体的入口、连接至所述N个站中的一站的出口、以及被配置在所述歧管块体内并连接至所述入口和所述出口的多个气体流动通道。所述多个阀被安装至所述歧管块体并被配置成控制流过所述出口的所述处理气体和所述惰性气体的流量。所述流量控制设备被安装至所述歧管块体并被配置成控制流过所述歧管块体而到达所述N个站中的一站内的所述惰性气体的流量。所述N个阀系统中的每一个的所述流量控制设备被校准以平衡所述N个站中的所述惰性气体的所述流量。
在其他特征中,所述流量控制设备包含二通阀,且在所述N个阀系统中的每一个中的所述二通阀的流动系数被校准以平衡在所述N个站中的所述惰性气体的所述流量。
在其他特征中,所述流量控制设备包含流通式带盖与孔组件,且在所述流通式带盖与孔组件中的孔被设计成用于平衡所述N个站中的所述惰性气体的所述流量。
在另一特征中,所述衬底处理系统还包含控制器,其被配置成校准所述N个阀系统中的每一个中的所述流量控制设备,以平衡所述N个站中的所述惰性气体的所述流量。
在其他特征中,所述衬底处理系统还包含N个传感器和控制器。所述N个传感器被配置成分别感应来自所述N个阀系统进入所述N个站的气体流量。所述控制器被配置成基于接收自所述N个传感器中的至少一个的数据来校准所述N个阀系统中的至少一个的所述流量控制设备,以平衡所述N个站中的所述惰性气体的所述流量。
在其他特征中,所述衬底处理系统还包含计量系统和控制器。所述计量系统被配置成分析在所述N个站中的一或多个站中处理的一或多个所述衬底。所述控制器被配置成基于接收来自所述计量系统的数据来校准所述N个阀系统中的至少一个的所述流量控制设备,以平衡所述N个站中的所述惰性气体的所述流量。
在其他特征中,所述歧管块体包含第二出口,所述阀中的一个被配置成在所述处理的步骤期间选择性地将一或多个气体从所述歧管块体转向通过所述第二出口。
在其他特征中,所述N个阀系统中的每一个中的所述流量控制设备被配置成控制以多个流速供应至所述N个站中的每一个的所述惰性气体的所述流量。
在其他特征中,所述N个阀系统中的每一个中的所述流量控制设备被配置成控制以第一流速不间断地供应以及以大于所述第一流速的第二流速周期性地供应至所述N个站中的每一个的所述惰性气体的所述流量。
在其他特征中,所述阀包含四通阀以及二通阀,且所述流量控制设备包含二通阀。
在其他特征中,所述阀包含四通阀以及二通阀,且所述流量控制设备包含流通式带盖与孔组件。
在其他特征中,所述处理包含原子层沉积处理,且所述流量控制设备被配置成在所述原子层沉积处理的配料和清扫步骤期间控制所述惰性气体的所述流量。
在还有的其他特征中,一种衬底处理系统包括N个站、N个阀系统和控制器,其中N是大于1的整数。N个站被配置为对衬底执行处理。
N个阀系统分别连接到N个站。所述N个阀系统中的每一个包含:歧管块体、多个阀和流量控制设备。歧管块体包含接收处理气体和惰性气体的入口、连接至所述N个站中的一站的出口、以及被配置在所述歧管块体内并连接至所述入口和所述出口的多个气体流动通道。所述多个阀被安装至所述歧管块体并被配置成控制流过所述出口的所述处理气体和所述惰性气体的流量。所述流量控制设备被安装至所述歧管块体并被配置成控制流过所述歧管块体而到达所述N个站中的一站内的所述惰性气体的流量。所述控制器被配置成校准在所述N个阀系统中的每一个的所述流量控制设备,以在所述原子层沉积处理的配料和清扫步骤期间平衡所述N个站中的所述惰性气体的所述流量。
在其他特征中,所述流量控制设备包含二通阀,且在所述N个阀系统中的每一个中的所述二通阀的流动系数被校准以平衡在所述N个站中的所述惰性气体的所述流量。
在其他特征中,所述流量控制设备包含流通式带盖与孔组件,且在所述流通式带盖与孔组件中的孔被设计成用于平衡所述N个站中的所述惰性气体的所述流量。
在其他特征中,所述衬底处理系统还包含N个传感器,所述N个传感器被配置成分别感应来自所述N个阀系统进入所述N个站的气体流量。所述控制器被配置成基于接收自所述N个传感器中的至少一个的数据来校准所述N个阀系统中的至少一个的所述流量控制设备,以平衡所述N个站中的所述惰性气体的所述流量。
在其他特征中,所述衬底处理系统还包含计量系统,所述计量系统被配置成分析在所述N个站中的一或多个站中处理的一或多个所述衬底。所述控制器被配置成基于接收来自所述计量系统的数据来校准所述N个阀系统中的至少一个的所述流量控制设备,以平衡所述N个站中的所述惰性气体的所述流量。
在其他特征中,所述歧管块体包含第二出口,且所述阀中的一个被配置成在所述原子层沉积处理的清扫步骤期间选择性地将一或多个气体从所述歧管块体转向通过所述第二出口。
在另一特征中,所述N个阀系统中的每一个中的所述流量控制设备被配置成在所述配料和清扫步骤期间控制以多个流速供应至所述N个站中的每一个的所述惰性气体的所述流量。
在另一特征中,所述N个阀系统中的每一个中的所述流量控制设备被配置成控制在所述配料和清扫步骤期间以第一流速以及在所述清扫步骤期间以大于所述第一流速的第二流速不间断地供应至所述N个站中的每一个的所述惰性气体的所述流量。
在其他特征中,所述阀包含四通阀以及二通阀,且所述流量控制设备包含二通阀或流通式带盖与孔组件。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1A和1B显示了根据本公开内容的包含多个站及相应阀系统的衬底处理系统(工具)的示例;
图1C显示了图1A和1B中所示工具中的一个站、包含三个阀的相关联的阀系统和其他部件的更详细说明;
图2A和2B显示了包含两个阀的阀系统的示例;
图3A和3B显示了图1A-1C中所示的阀系统示例的更详细说明;
图4A和4B显示了在图1A-1C的阀系统中使用的歧管块体示例;
图5显示了可用于取代图1A-1C的阀系统中第三阀的流通式带盖与孔组件的示例;
图6A和6B显示了图1A-1C中所示的阀系统示例,其包含图5的流通式带盖与孔组件;
图7显示了用于平衡图1A-1C中所示工具的站中的气流的方法,且其使用图3A-6B所示的阀系统;
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
衬底处理系统(也称为工具)包含多个站(也称为处理模块或处理室)。该工具包含气体输送系统,其通过多种气体流动路径将多种气体输送到站。气体的非限制性示例包含处理气体、载气、前体气体、清扫气体、清洁气体等。气体流动路径由导管、阀和歧管块体限定。
气体输送系统使用阀系统来控制各种气体进入站的流量。例如在多个站中的衬底上执行原子层沉积(ALD)的工具中,每一站通常使用包含两个阀的阀系统。第一阀用于在处理的配料步骤期间将前体供应到站。第二阀用于在处理的清扫步骤期间将残留前体从站中转移。第二阀是防止残留的前体在清扫步骤中进入站。
此外,在处理期间将惰性气体供应到每一站。惰性气体通常通过两个歧管供应到每一站。在整个处理期间,惰性气体以相对低的流速(第一流速)通过第一歧管供应到站。以低的第一流速供应惰性气体称为滴流供应。此外,在清扫步骤期间,惰性气体以比第一流速更高的流速(第二流速)通过第二歧管(例如在两个配料步骤之间)周期性地供应至站。除了滴流供应之外,以较高的第二流速供应惰性气体称为突发供应。
目前,除了使用开关阀外,并没有采用流量控制器来通过这些歧管向站点供应惰性气体。因此,除了打开或关闭进入站的惰性气体流之外,进入站的惰性气体流量并未被调节。此外,由于气体分配系统的部件的制造差异,惰性气体在站间的流动并不平衡。
站之间的气流不平衡会导致多种问题。例如当等离子体在处理期间在一个站中被激励时,可能会发生不想要的等离子体不稳定性。此外,由于站之间的流动不平衡,每一站的等离子体不稳定性也不同。通常,处理是根据为处理限定的参数来执行。然而,限定的处理参数可能接近会导致不想要的等离子体不稳定性的值。随着流量不平衡的情况增加,不想要的等离子体不稳定性可能发生在一或多个站中。在没有流量平衡的情况下,为避免等离子体不稳定,需要将处理参数限定为远离不稳定状态以避免任何站中的等离子体不稳定。将处理参数限定为远离不稳定状态可能会需要与最佳处理参数有很大偏差。
理想情况下,此类偏差可能因站而异,具体取决于站之间的流量不平衡。然而,实际上这样的偏差不能在不同站之间变化,至少不能以受控方式变化,因为ISO标准要求在所有工具和所有站上使用相同的偏差,这并不能解决硬件的可变性问题。因此,在没有流量平衡的情况下,通常使用大到足以应对最坏情况的单一偏差,这可以是非常显著的偏差且因此在不平衡设计中是不希望出现的。取而代之的是,有了流量平衡就可以使偏差最小化,并且可以使用接近处理限定参数的最佳参数值来执行处理,并使等离子体不稳定性在整个工作站中保持一致。
本公开内容提供了可控第三阀形式的流量控制旋钮,以在从两个歧管进入每一站的滴流和突发供应期间调节惰性气体的流量。通过第三阀进入每一站的惰性气体流量可以独立控制,使得流向所有站的惰性气体是平衡的。惰性气体通过第三阀供应到站的流量系数(Cv,下面会解释)可以进行校准以补偿气体分配系统中的制造差异。第三阀的流量系数可在工具的制造、安装和/或寿命期间(例如在预防性维护期间)校准。替代地,可以使用流通式带盖与孔组件(下文称为带盖与孔组件)取代第三阀来调节进入每一站的惰性气体流量。用于每一站的带盖与孔组件的孔可以根据站的已知Cv要求来确定尺寸,以平衡流向工具中的所有站的惰性气体流量。
第一阀用于在配料步骤期间将前体供应到站,第二阀用于在清扫步骤期间转移前体,第三阀(或带盖与孔组件)用于调节进入站的惰性气体流量,这三个阀安装在歧管块体上。歧管块体包含入口以接收来自相应歧管的前体和惰性气体。歧管块体包含出口以在配料步骤期间将气体供应到站并且在清扫步骤期间从站转移气体。歧管块体包含连接到阀、入口和出口的多个气体流动通道。气体流动通道设计成用以使盲管(即气体在气体流动通道中的停滞)最小化。此外,歧管块体中可设置一或多个可控加热器,以防止气体流动通道周围发生冷凝。一组的第一、第二和第三阀以及歧管块体(称为阀系统)将各个气体供应到站中的喷头。每一站都使用独立的阀系统。
此外,来自位于阀系统下游的一或多个传感器的反馈可用于控制惰性气体通过第三阀(或带盖与孔组件)流到每一站的流量,以实现站到站的气流平衡。例如可以从位于喷头和/或站中的传感器接收这样的反馈。替代地或额外地,来自与工具相关联的计量系统的反馈可用于实现站到站的气流平衡。例如这样的反馈可以包含计量系统从站中进行处理的衬底所收集的数据,其可以指出由气流平衡引起的处理变化。下面更详细地描述本公开内容的阀系统的这些和其他特征。
在详细描述阀系统之前,首先参考图1A-1C显示和描述的多种非限制性示例工具配置。这些示例显示了包含不同气体输送系统的工具,其中可以使用本公开内容的包含三个阀的阀系统。为完整起见,参考图2A和2B显示和描述了双阀系统的示例,其不像本公开内容的阀系统那样控制惰性气体的流动。随后,本公开内容的阀系统参考图3A及之后的图来详细描述。
图1A-1C显示了采用本公开内容的阀系统的不同工具配置示例。图1A显示了具有站共用的质量流量控制器(MFC)的工具10的示例。图1B显示了每一站具有独立MFC的工具11的示例。图1C显示了采用本公开内容的阀系统的工具100的另一个示例,其中更详细地显示了阀系统和气体分配系统的其他部件以及工具。虽然图1C仅显示了一个站的细节,应理解该工具中的其他站也使用类似的设置。
在图1A中,工具10包含气体源12。气体源12供应多种气体,包含但不限于前体、反应物、惰性气体、清扫气体、清洁气体和其他气体。工具10包含一组MFC16。MFC16控制由气体源12供应的气体的质量流量。MFC16将气体从气体源12通过各个歧管(如图1C所示)供应到多个阀系统18-1、18-2、18-3和18-4(统称为阀系统18)。阀系统18的示例参考图3A及之后的图详细显示和描述。
工具10包含多个站20-1、20-2、20-3和20-4(统称为站20)。站20分别包含喷头22-1、22-2、22-3和22-4(统称为喷头22)。阀系统18分别连接到喷头22。阀系统18将气体源12供应的气体通过相应的喷头22供应到相应的站20。阀系统18以预定流速将气体供应到站20。如下所述,流速通过校准阀系统18而预先确定以平衡流到站20的气体流量。
应注意此处显示四个站20和四个阀系统18仅作为示例。通常,工具10可包含N个站20和N个阀系统18,其中N是大于2的整数。工具10还包含系统控制器24。系统控制器24控制气体源12、MFC16、阀系统18以及站20和工具10的其他部件。站20和工具10的其他部件的示例参考图1C在下面显示和描述。
工具10还包含多个传感器30。传感器30可以分布在整个工具10中。例如传感器30可以位于阀系统18的下游(例如在喷头22和/或站20中)。传感器30向系统控制器24提供关于每一站20中气流的反馈。系统控制器24使用该反馈来调节阀系统18中的阀的流量系数,以平衡遍及站20的气体流量。
替代地或额外地,工具10还可以包含计量系统32。计量系统32可以分析在站20中处理的衬底并且提供指出站20之间流量不平衡的数据。系统控制器24使用该数据来调整阀系统18中的阀的流量系数,以平衡遍及站20的气体流量。应注意,这些调整可以在工具10的制造、安装和/或预防性维护期间作为校准来进行。
如图1B所示,工具11包含图1A所示工具10的所有元件,除了工具11包含用于每一站20的独立MFC16-1、16-2、16-3和16-4(统称为MFC16)之外。在工具11中,每个MFC16通过相应的歧管而连接到相应的阀系统18。在其他方面,对工具11的其他元件的描述与图1A中所示的工具10的相应元件的描述相同。因此,为了简洁起见,不再重复对工具11的其他元件的描述。
图1C更详细地显示采用本公开内容的阀系统18的工具100的示例。为了说明的目的,仅显示了一个站20和一个阀系统18。应当理解,工具100包含N个站20和分别连接到N个站20的N个阀系统18,其中N是大于2的整数。工具100包含系统控制器24,其校准N个阀系统18中的阀以平衡流过N个站20的气流,如下面参考图3A及以后的图所描述的。
工具100可以使用各种处理在站20中处理衬底。例如处理可以包含原子层沉积(ALD)、等离子体增强ALD(PEALD)、化学气相沉积(CVD)、等离子体增强CVD(PECVD)和/或其他沉积和蚀刻处理。下面参考站20和阀系统18提供的描述适用于工具100中的所有站20和阀系统18以及图1A和1B中所示的工具10、11。
工具100包含一或多个气体输送系统120-1、120-2等(统称为气体输送系统120)。气体输送系统120可包含一或多个气体源122-1、122-2、……和122-N(统称为气体源122),其中N是大于1的整数。阀124-1、124-2、……和124-N(统称为阀124)和质量流量控制器126-1、126-2、……和126-N(统称为质量流量控制器126)或其他流量控制设备可用于将一或多种气体可控地供应到歧管130。汽化前体输送系统128将汽化前体供应到歧管130。歧管130将气体混合物供应到阀系统18。
阀系统18参考图3A及以后的图来更详细地描述。简而言之,阀系统18包含歧管块体132和阀V1、V2和V3。阀V1、V2和V3分别对应于上述第一、第二和第三阀。歧管块体132包含两个入口140、142和两个出口144、146。歧管块体132经由第一入口140从歧管130接收处理气体。此外,气体输送系统120-2将惰性气体经由第二入口142供应到歧管块体132。气体输送系统120-2经由第一歧管134和第二歧管136将惰性气体供应到歧管块体132。例如,气体输送系统120-2在整个处理期间经由第一歧管134以滴流供应(如上所述)的形式供应惰性气体。气体输送系统120-2在清扫步骤期间经由第二歧管136以突发供应(也如上所述)的形式供应惰性气体。两个歧管134、136的输出连接在一起以形成合并输出。该合并输出连接到歧管块体132的第二入口142。在一些示例中,可以使用单一歧管取代两个歧管134、136,且该单一歧管可以连接到歧管块体132的第二入口142。
阀V1、V2和V3安装到歧管块体132。例如阀V1是四通阀,阀V2和V3是二通阀。歧管块体132包含多个气体流动通道,其在下文中参考图4A和4B详细显示和描述。气体流动通道连接到阀V1、V2和V3,并连接到歧管块体132的入口140、142和出口144、146。阀V1、V2和V3控制流入站20的气体流量。阀V1在处理的配料步骤期间控制流入站20的处理气体流量。阀V2转移处理气体并防止转移的气体在处理的清扫步骤期间进入站20。阀V3控制惰性气体(整个处理期间的滴流供应和清扫步骤期间的突发供应)流入站20。
阀系统18使用例如喷头22之类的气体分配设备将处理气体混合物供应到站20。在一些示例中,喷头22是枝形吊灯式的喷头。在站20中,例如半导体晶片之类的衬底118可以在处理期间布置在衬底支撑件116上。衬底支撑件116可包含基座、静电卡盘、机械卡盘或其他类型的衬底支撑件。虽然未显示,但喷头22和衬底支撑件116可包含加热和冷却系统,其在衬底118的处理期间、站20的清洁等期间控制喷头22和衬底支撑件116的温度。
在一些处理中,通过向喷头22提供RF功率来激发等离子体,其中衬底支撑件116接地或浮动。替代地,等离子体可以通过将RF功率供应到衬底支撑件116而喷头22接地或浮动来激发。RF等离子体产生器150产生用于在站20中产生等离子体的RF功率。RF等离子体产生器150可以是电感型或电容型RF等离子体产生器。例如RF等离子体产生器150可以包含产生RF电压的RF电源152以及将RF电压供应到喷头22或衬底支撑件116的匹配和分配网络154。替代地,等离子体可以从站20的远端(即在站20的外部)产生并且可以供应至站20。
系统控制器24监测例如温度、压力等处理参数(使用一或多个传感器30)并控制站20中的处理时间。系统控制器24控制工具100的部件,例如气体输送系统120、喷头22和衬底支撑件116中的加热和冷却系统、RF等离子体产生器150和阀系统18。系统控制器24还控制阀160和泵162,它们用于控制站中的压力20并抽空站20。
图2A和2B显示仅具有阀V1和V2而没有阀V3的阀系统19。图2A显示阀系统19的框图。图2B显示阀系统19的示意图。在图2A中,阀系统19包含歧管块体133,阀V1和V2安装到歧管块体133。类似于歧管块体132,歧管块体133具有两个入口140、142和两个出口144、146。类似于歧管块体132,歧管块体133连接到歧管130、134、136。
在图2B中,阀V1是四通阀,阀V2是二通阀。阀V1和V2的端口号由数字1、2、3和4标示。阀V2是常闭阀(显示为NC)。如图所示,歧管块体133包含将阀V1和V2的端口连接到入口140、142和出口144、146的气体流动通道。当阀系统19用于图1A-1C所示的工具时,在没有阀V3的情况下,遍及站20的惰性气体流量是不平衡的。流量不平衡会导致如上所述的处理不均匀及等离子体不稳定。
具体而言,在图1A-1C所示的工具10、11和100中,如果使用图2A和2B中所示的双阀系统19来取代三阀系统18,当站20在衬底上执行相同的处理时,可能会发生站到站的处理不均匀和等离子体不稳定。不均匀性和等离子体不稳定性可能由于例如气体输送系统的部件中的制造差异等因素引起站20中的流动不平衡而发生。例如很难完美匹配用于向每一站供应气体的各个管道、阀和歧管的尺寸。通过使用阀系统18,可通过独立控制每个阀系统18中的阀V3的流量系数来实现站到站流量平衡。具体而言,每个阀系统18中的阀V3的流量系数经调节以补偿与站20一起使用的气体输送系统的部件的制造差异。将每个阀系统18中的阀V3的流量系数进行调节会使得流到站20的惰性气体流量是平衡的。因此,当站20在衬底上执行相同的处理时,无论制造差异如何,站到站的处理不均匀性和等离子体不稳定性都显著降低。
例如阀V3之类的流量控制设备的流量系数Cv是流量控制设备允许流体流动的效率的相对量度。流量系数Cv描述了流量控制设备两端的压降与相应流速之间的关系。流量系数Cv(即阀的额定流量)可用下式表示:
其中Q是流体的流速,SG是流体的比重,ΔP是阀两端的压降。
流量系数可用于比较阀容量和确定阀尺寸。系统控制器24可用于控制阀系统18中每个阀V3的Cv,以补偿制造差异并平衡站20之间的惰性气体流量。替代地,如果站所需的Cv值是已知的,则阀V3可以由流通式带盖与孔组件取代,其在下文中参考图5显示和描述。在带盖与孔组件中,孔的尺寸经设计(即选择)以提供所需的Cv值。也就是说,以尺寸来选择孔并以所需的Cv值将惰性气体供应到站20。使用阀V3或带盖与孔组件来平衡遍及站20的惰性气体流会使得站20在处理不均匀性和等离子体不稳定性方面表现一致。阀V3和带盖与孔组件可统称为流量控制设备。
每个阀系统18中的阀V3可以根据经验来校准(例如可以设定每个阀系统18中的阀V3的Cv值)。阀V3可以在工具制造期间和/或在现场设定工具时校准。即,根据站20中衬底的处理要求,可以通过阀系统18中的阀V3来独立调节供给站20的惰性气体的流量,以达到遍及站20的流量平衡。对每个阀系统18中的阀V3的Cv值进行调整补偿了在气体输送系统的部件制造中可能无法避免发生的制造差异。因为此调整,流到每一站20的惰性气体的流量可以独立控制以补偿制造差异。
此外,阀V3可以在工具的预防性维护期间根据需要重新校准。此外,阀V3也可以在运行期间(即在处理运行时)进行调整。例如系统控制器24可以接收来自传感器30的反馈。来自传感器30的反馈可以指出可能在工具的某一站而不是在其他站正在发生不均匀和等离子体不稳定。系统控制器24可以基于来自传感器30的反馈来调节与该站相关联的阀V3的Cv
替代地或额外地,系统控制器24可以从原位(或外部)计量系统32接收数据作为反馈。虽然为了简化对工具100的其他元件的说明而没有显示在图1C中,但图1C中所示的系统控制器24也与计量系统32通信(如图1A和1B所示)。例如计量系统32可以测量在站中处理的衬底的特性。例如计量系统32可以测量因站中的处理而沉积在衬底上的膜的厚度。来自计量系统32的数据可以指出在一个站中使用处理的衬底与在另一个站中使用相同处理的衬底相比的差异。基于来自计量系统32的数据,系统控制器24可以调整该站(在该站中衬底特性指出差异)的相关联阀V3的Cv。虽然在每一站20中使用相同处理衬底,但仍可能发生差异。通过调节与发生差异的站相关联的阀V3的Cv,流到每一站20的惰性气体流量可以平衡,并且可以减轻差异。
图3A和3B更详细地显示了阀系统18。图3A显示了阀系统18的框图。图3B显示了阀系统18的示意图。如图3A所示,阀系统18包含歧管块体132,而阀V1、V2和V3安装到歧管块体132。歧管块体132具有两个入口140、142和两个出口144、146。歧管块体132连接到歧管130、134、136。
在图3B中,阀V1是四通阀,阀V2和V3是二通阀。阀V1、V2和V3的端口号由数字1、2、3和4标示。阀V2和V3为常闭阀(显示为NC)。虽然此处显示了特定类型的阀,但也可以使用可提供所述功能的其他类型的阀。
如图所示,歧管块体132包含将阀V1、V2和V3的端口连接到入口140、142和出口144、146的气体流动通道。歧管块体132的气体流动通道参考图4A和4B而在下文中详细显示和描述。当阀系统18用于图1A-1C所示的工具10、11、100时,且阀V3的Cv如上所述般地被校准,且遍及站20的惰性气体流量是平衡的,如此会如上所述般的使处理不均匀性和等离子体不稳定性最小化。
图4A和4B显示了歧管块体132内的气体流动通道及其与阀V1、V2、V3以及与入口140、142和出口144、146的连接。图4A显示了没有加热器的气体流动通道。图4B显示了带有加热器的气体流动通道。在下面的描述中,气体流动通道简称为气体通道。
在图4A中,歧管块体132包含六个气体通道。第一气体通道200从歧管块体132的第一入口140延伸到四通阀V1的第二端口V1-2。第二气体通道202从歧管块体132的第二入口142延伸到二通阀V3的第一端口V3-1。第三气体通道204则将二通阀V3的第二端口V3-2连接到四通阀V1的第四端口V1-4。第四气体通道206将四通阀V1的第三端口V1-3连接到第一出口144。第五气体通道208将四通阀V1的第一端口V1-1连接到二通阀V2的第一端口V2-1。第六气体通道210将二通阀V2的第二端口V2-2连接到第二出口146。歧管块体132的气体流动通道设计成使得歧管块体132不增加歧管130、134、136和站20的喷头22之间的盲管体积。
系统控制器24控制阀V1、V2和V3以在配料和清扫步骤期间将处理气体和惰性气体从歧管130、134、136供应到站20的喷头22。具体来说,在配料步骤期间,阀V1打开(即端口V1-1与端口V1-3流体连通),阀V2关闭,且阀V3打开。来自歧管130的前体经由第一入口140进入歧管块体132,流过第一气体通道200进入端口V1-2,流过端口V1-1和V1-3而进入第四气体通道206,且流经第一出口144进入喷头22。
此外,由于阀V3在配料步骤期间打开,来自第一歧管134的惰性气体的滴流供应经由第二入口142进入歧管块体132,流入第二气体通道202,流过端口V3-1和V3-2而进入第三气体通道204,流经端口V1-4和V1-3进入第四气体通道206,且流经第一出口144而进入喷头22。由于阀V2关闭,前体或惰性气体都不流过阀V2也不流过第六气体通道210进入歧管块体132的第二出口146。
在清扫步骤期间,阀V1关闭(即端口V1-1不与端口V1-3流体连通),且阀V2和V3打开。来自第二歧管136的惰性气体的突发供应经由第二入口142进入歧管块体132而进入第二气体通道202,流经端口V3-1和V3-2而进入第三气体通道204,流经端口V1-4和V1-3而进入第四气体通道206,并流经第一出口144而进入喷头22。由于阀V1关闭(即端口V1-1不与端口V1-3流体连通),前体在清扫步骤期间并不流入喷头22。此外,由于阀V2打开,第五气体通道208中来自先前配料步骤的残余前体被转移通过阀V2、第六气体通道210和第二出口146。
在配料和清扫步骤中,每个阀系统18中的阀V3的经校准Cv设定确保流到站20的惰性气体流量是平衡的,不论用于向站20供应各种气体的气体输送系统的部件的制造差异如何。
在图4B中,歧管块体132显示为具有两个加热器220、222。除了添加加热器220、222之外,图4B中所示的歧管块体132与图4A相同。因此,图4A的描述同样适用于图4B,为了简洁不再重复。虽然仅作为示例显示两个加热器,但是可以替代地使用任何数量的加热器(例如一个、三个、四个或更多)。加热器220、222防止歧管块体132的气体流动通道周围的冷凝。图1A-1C中所示的系统控制器24用于控制加热器220、222的电源。例如加热器220、222可包含插装加热器(cartridge heater)。替代地,虽然未显示,但加热器220、222可包含夹套加热器(jacket heater)。
图5显示了可取代阀系统18中的阀V3使用的流通式带盖与孔组件300。流通式带盖与孔组件(下文称为带盖与孔组件)300可与阀V1和V2以及歧管块体132一起实现流量平衡。如果站所需的Cv值为已知,则可以使用带盖与孔组件300。带盖与孔组件300中孔的尺寸可经选择以在滴流和突发供应期间以所需的Cv值供应惰性气体。
带盖与孔组件300安装到歧管块体132以取代阀V3。带盖与孔组件300包含盖302和孔板304。盖302包含连接到安装板308的杯形圆柱部306,安装板308则安装到歧管块体132。安装板308包含入口310和出口312。安装板308使用多个紧固件316-1、316-2、316-3、316-4(不可见)来安装到歧管块体132。安装时,入口310和出口312在阀V3的端口V3-1和V3-2连接到歧管块体132的位置处分别连接到歧管块体132。
孔板304为圆盘形结构,在孔板304的中心具有孔305。孔305的尺寸可经选择以提供站20所需的预定Cv。孔板304安装到带盖与孔组件300的出口312。圆柱部306包含将带盖与孔组件300的入口310连接到带盖与孔组件300的出口312的气体流动通道314。
使用时,惰性气体经由入口310流入带盖与孔组件300,进入气体流动通道314,并经由孔305以预定的Cv通过出口312流入歧管块体132。带盖与孔组件300可以安装在与任何站20相关联的歧管块体132上。孔305的尺寸可经选择以提供该站20所需的预定Cv。站20的处理所需的Cv可以在安装了用于站20的气体输送系统的所有部件后凭经验确定。通过为每一站使用具有特定尺寸的孔305,不管与站20一起使用的气体输送系统的部件的制造差异如何,遍及站20的惰性气体流量是平衡的。
当带盖与孔组件300与歧管块体132一起使用时,流经歧管块体132和阀V1以及V2的气体流量与上面参考图4A描述的相同。气体流量相同是因为类似于在配料和清扫步骤期间打开的阀V3,孔305在这两个步骤期间都打开。与相应阀系统18一起使用的一或多个孔305的尺寸经选择,以确保不管用于将各个气体供应到站20的气体输送系统的部件的制造差异如何,流到站20的惰性气体流量是平衡的。
图6A和6B更详细地显示了包含带盖与孔组件300的阀系统18。图6A显示了阀系统18的框图,其包含带盖与孔组件300而不是阀V3。图6B则显示了阀系统18的示意图,其包含取代阀V3的带盖与孔组件300。除了用带盖与孔组件300替换阀V3之外,图6A与图3A相同。因此,为了简洁起见,不再进一步详细描述图6A。
在图6B中,如图3B,阀V1和V2分别是四通阀和二通阀,但是可以替代性地使用提供所述功能的其他类型的阀。阀V1和V2的端口号由数字1、2、3和4标示。阀V2是常闭阀(显示为NC)。带盖与孔组件300安装到歧管块体132而不是阀V3。如图所示,歧管块体132包含将阀V1和V2的端口以及带盖与孔组件300连接到入口140、142和出口144、146的气体流动通道。歧管块体132的气体流动通道与上文参考图4A和4B所示及描述的相同。因此为了简洁起见不再描述。当阀系统18用于图1A-1C所示的工具10、11、100时,且孔305的尺寸是根据站20的已知Cv值来选择的,则遍及站20的惰性气体流量是平衡的,如此会如上述般地使处理不均匀性和等离子体不稳定性最小化。
图7显示了由系统控制器24执行以平衡遍及站20的惰性气体流量的方法400。应注意,系统控制器24可以在工具安装之前(即在制造期间)、在安装工具期间、在工具的预防性维护期间和/或在站20中执行处理时执行方法400。虽然可以在制造、设置和预防性维护期间确定和选择孔305的尺寸,但是孔305不能在站20中执行处理时更换。相对地,阀V3的Cv可以在这些程序的任何期间进行调整。
在步骤402,方法400分别使用连接到站20的阀系统18而将处理气体和惰性气体供应到站20。在步骤404,方法400在站20中激励等离子体。在步骤406,方法400确定在一或多个站中是否检测到等离子体不稳定性和/或其他处理不均匀性。例如可以基于来自一或多个传感器30的数据和/或来自计量系统32的数据来检测等离子体不稳定性(和/或不均匀性)。如果没有在任何一个站20中检测到等离子体不稳定性(和/或不均匀性),就结束方法400。
如果在任一站20中检测到等离子体不稳定性(和/或不均匀性),则在步骤408,则方法400对应于检测到不稳定性(和/或不均匀性)等离子体的该一个或多个站20来调整其阀系统18中的阀V3的Cv。替代地,如果使用带盖与孔组件300取代阀V3,则选择具有不同尺寸而适于以站20所需的预定Cv值将惰性气体供应到站20的不同孔305。接着,方法400返回到步骤402。
前面的描述本质上仅仅是说明性的,绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改方案将变得显而易见。
应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方案在上面被描述为具有某些特征,但是相对于本公开的任何实施方案描述的那些特征中的任何一个或多个,可以在任何其它实施方案的特征中实现和/或与任何其它实施方案的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方案不是相互排斥的,并且一个或多个实施方案彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方案中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流动系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。
根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置和操作设置、晶片转移进出与具体系统连接或通过接口连接的工具和其他转移工具和/或装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。
程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。
在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。
因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (21)

1.一种衬底处理系统,其包含:
N个站,其被配置成在衬底上执行处理,其中N为大于1的整数;以及
N个阀系统,其分别连接至所述N个站;
其中所述N个阀系统中的每一个包含:
歧管块体,其包含接收处理气体和惰性气体的入口、连接至所述N个站中的一站的出口、以及被配置在所述歧管块体内并连接至所述入口和所述出口的多个气体流动通道;
多个阀,其被安装至所述歧管块体并被配置成控制流过所述出口的所述处理气体和所述惰性气体的流量;以及
流量控制设备,其被安装至所述歧管块体并被配置成控制流过所述歧管块体而到达所述N个站中的一站内的所述惰性气体的流量;以及
其中所述N个阀系统中的每一个的所述流量控制设备被校准以平衡所述N个站中的所述惰性气体的所述流量。
2.根据权利要求1所述的衬底处理系统,其中所述流量控制设备包含二通阀,且其中在所述N个阀系统中的每一个中的所述二通阀的流动系数被校准以平衡在所述N个站中的所述惰性气体的所述流量。
3.根据权利要求1所述的衬底处理系统,其中所述流量控制设备包含流通式带盖与孔组件,且其中在所述流通式带盖与孔组件中的孔被设计成用于平衡所述N个站中的所述惰性气体的所述流量。
4.根据权利要求1所述的衬底处理系统,其还包含控制器,其被配置成校准所述N个阀系统中的每一个中的所述流量控制设备,以平衡所述N个站中的所述惰性气体的所述流量。
5.根据权利要求1所述的衬底处理系统,其还包含:
N个传感器,其被配置成分别感应来自所述N个阀系统进入所述N个站的气体流量;以及
控制器,其被配置成基于接收自所述N个传感器中的至少一个的数据来校准所述N个阀系统中的至少一个的所述流量控制设备,以平衡所述N个站中的所述惰性气体的所述流量。
6.根据权利要求1所述的衬底处理系统,其还包含:
计量系统,其被配置成分析在所述N个站中的一或多个站中处理的一或多个所述衬底;以及
控制器,其被配置成基于接收来自所述计量系统的数据来校准所述N个阀系统中的至少一个的所述流量控制设备,以平衡所述N个站中的所述惰性气体的所述流量。
7.根据权利要求1所述的衬底处理系统,其中所述歧管块体包含第二出口,其中所述阀中的一个被配置成在所述处理的步骤期间选择性地将一或多个气体从所述歧管块体转向通过所述第二出口。
8.根据权利要求1所述的衬底处理系统,其中所述N个阀系统中的每一个中的所述流量控制设备被配置成控制以多个流速供应至所述N个站中的每一个的所述惰性气体的所述流量。
9.根据权利要求1所述的衬底处理系统,其中所述N个阀系统中的每一个中的所述流量控制设备被配置成控制以第一流速不间断地供应以及以大于所述第一流速的第二流速周期性地供应至所述N个站中的每一个的所述惰性气体的所述流量。
10.根据权利要求1所述的衬底处理系统,其中所述阀包含四通阀以及二通阀,且其中所述流量控制设备包含二通阀。
11.根据权利要求1所述的衬底处理系统,其中所述阀包含四通阀以及二通阀,且其中所述流量控制设备包含流通式带盖与孔组件。
12.根据权利要求1所述的衬底处理系统,其中所述处理包含原子层沉积处理,且其中所述流量控制设备被配置成在所述原子层沉积处理的配料和清扫步骤期间控制所述惰性气体的所述流量。
13.一种衬底处理系统,其包含:
N个站,其被配置成在衬底上执行原子层沉积处理,其中N为大于1的整数;
N个阀系统,其分别连接至所述N个站;
其中所述N个阀系统中的每一个包含:
歧管块体,其包含接收处理气体和惰性气体的入口、连接至所述N个站中的一站的出口、以及配置在所述歧管块体内并连接至所述入口和所述出口的多个气体流动通道;
多个阀,其被安装至所述歧管块体并被配置成控制流过所述出口的所述处理气体和所述惰性气体的流量;以及
流量控制设备,其被安装至所述歧管块体并被配置成控制流过所述歧管块体而到达所述N个站中的一站内的所述惰性气体的流量;以及
控制器,其被配置成校准在所述N个阀系统中的每一个的所述流量控制设备,以在所述原子层沉积处理的配料和清扫步骤期间平衡所述N个站中的所述惰性气体的所述流量。
14.根据权利要求13所述的衬底处理系统,其中所述流量控制设备包含二通阀,且其中在所述N个阀系统中的每一个中的所述二通阀的流动系数被校准以平衡在所述N个站中的所述惰性气体的所述流量。
15.根据权利要求13所述的衬底处理系统,其中所述流量控制设备包含流通式带盖与孔组件,且其中在所述流通式带盖与孔组件中的孔被设计成用于平衡所述N个站中的所述惰性气体的所述流量。
16.根据权利要求13所述的衬底处理系统,其还包含:
N个传感器,其被配置成分别感应来自所述N个阀系统进入所述N个站的气体流量;以及
其中,所述控制器被配置成基于接收自所述N个传感器中的至少一个的数据来校准所述N个阀系统中的至少一个的所述流量控制设备,以平衡所述N个站中的所述惰性气体的所述流量。
17.根据权利要求13所述的衬底处理系统,其还包含:
计量系统,其被配置成分析在所述N个站中的一或多个站中处理的一或多个所述衬底;以及
其中,所述控制器被配置成基于接收来自所述计量系统的数据来校准所述N个阀系统中的至少一个的所述流量控制设备,以平衡所述N个站中的所述惰性气体的所述流量。
18.根据权利要求13所述的衬底处理系统,其中所述歧管块体包含第二出口,其中所述阀中的一个被配置成在所述原子层沉积处理的清扫步骤期间选择性地将一或多个气体从所述歧管块体转向通过所述第二出口。
19.根据权利要求13所述的衬底处理系统,其中所述N个阀系统中的每一个中的所述流量控制设备被配置成在所述配料和清扫步骤期间控制以多个流速供应至所述N个站中的每一个的所述惰性气体的所述流量。
20.根据权利要求13所述的衬底处理系统,其中所述N个阀系统中的每一个中的所述流量控制设备被配置成控制在所述配料和清扫步骤期间以第一流速以及在所述清扫步骤期间以大于所述第一流速的第二流速不间断地供应至所述N个站中的每一个的所述惰性气体的所述流量。
21.根据权利要求13所述的衬底处理系统,其中所述阀包含四通阀以及二通阀,且其中所述流量控制设备包含二通阀或流通式带盖与孔组件。
CN202280082416.5A 2021-12-13 2022-12-07 用于平衡流向衬底处理系统的多个站的气体流量的阀系统 Pending CN118382919A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163288794P 2021-12-13 2021-12-13
US63/288,794 2021-12-13
PCT/US2022/052113 WO2023114067A1 (en) 2021-12-13 2022-12-07 Valve systems for balancing gas flow to multiple stations of a substrate processing system

Publications (1)

Publication Number Publication Date
CN118382919A true CN118382919A (zh) 2024-07-23

Family

ID=86773343

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280082416.5A Pending CN118382919A (zh) 2021-12-13 2022-12-07 用于平衡流向衬底处理系统的多个站的气体流量的阀系统

Country Status (4)

Country Link
KR (1) KR20240122822A (zh)
CN (1) CN118382919A (zh)
TW (1) TW202340523A (zh)
WO (1) WO2023114067A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8925588B2 (en) * 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US20220228263A1 (en) * 2019-06-07 2022-07-21 Lam Research Corporation Independently adjustable flowpath conductance in multi-station semiconductor processing

Also Published As

Publication number Publication date
KR20240122822A (ko) 2024-08-13
WO2023114067A1 (en) 2023-06-22
TW202340523A (zh) 2023-10-16

Similar Documents

Publication Publication Date Title
US11608559B2 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11127567B2 (en) Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
EP3207558B1 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control and method using said gas supply delivery arrangement
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
US20230377908A1 (en) Compact modular gas distribution plumbing and heating system for multi-station deposition modules
US11557460B2 (en) Radio frequency (RF) signal source supplying RF plasma generator and remote plasma generator
CN118382919A (zh) 用于平衡流向衬底处理系统的多个站的气体流量的阀系统
US10358717B2 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10764966B2 (en) Laminated heater with different heater trace materials
US20230005776A1 (en) Purging spindle arms to prevent deposition and wafer sliding
US20230374661A1 (en) Showerhead with integral divert flow path
WO2024076477A1 (en) Showerhead for diffusion bonded, multi-zone gas dispersion
WO2022076444A1 (en) Vapor delivery device
WO2024076480A1 (en) Annular pumping for chamber
WO2024076479A1 (en) Adjustable pedestal
WO2023049008A1 (en) Balancing gas flow to multiple stations using heaters upstream of flow restrictors
CN117352444A (zh) 具有清扫机构的主轴和升降销驱动组件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination