TW202340523A - 用於平衡通至基板處理系統之多個站之氣體流的閥系統 - Google Patents

用於平衡通至基板處理系統之多個站之氣體流的閥系統 Download PDF

Info

Publication number
TW202340523A
TW202340523A TW111147595A TW111147595A TW202340523A TW 202340523 A TW202340523 A TW 202340523A TW 111147595 A TW111147595 A TW 111147595A TW 111147595 A TW111147595 A TW 111147595A TW 202340523 A TW202340523 A TW 202340523A
Authority
TW
Taiwan
Prior art keywords
flow
stations
valve
inert gas
control device
Prior art date
Application number
TW111147595A
Other languages
English (en)
Inventor
麥可 菲利浦 羅伯茨
巴拉斯 庫馬爾 西塔拉馬
萊恩 布拉基埃
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202340523A publication Critical patent/TW202340523A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Valve Housings (AREA)
  • Flow Control (AREA)

Abstract

一種基板處理系統包含分別連接至N個站的N個閥系統。每一閥系統包含歧管區塊、複數閥以及流量控制裝置。歧管區塊包含接收處理氣體及惰性氣體的複數入口、連接至站的出口、以及配置在歧管區塊內並連接至入口及出口的複數氣體流動通道。該複數閥係安裝至歧管區塊且用以控制通過出口之處理氣體及惰性氣體的流量。流量控制裝置係安裝至歧管區塊並控制通過歧管區塊而進入到該N個站之該站內的惰性氣體之流量。該N個閥系統中之各者的流量控制裝置係經校準以平衡該N個站中之惰性氣體的流量。

Description

用於平衡通至基板處理系統之多個站之氣體流的閥系統
本揭露內容係大致關於基板處理系統,更具體而言,本揭露內容係關於用於平衡通往基板處理系統之多個站之氣體流的閥系統。
此處所提供之背景描述係為了總體上呈現本揭露內容的目的。在此先前技術部分中所描述的範圍內,目前列名的發明人之工作成果以及在提出申請時可能無法以其他方式視為先前技術的描述方面,均未明確或隱含不利於本發明的先前技術。
基板處理系統通常包含多個站(也稱為處理室或處理模組),其在例如半導體晶圓的基板上執行沉積、蝕刻和其他製程。可以在基板上執行之製程的示例包含化學氣相沉積(CVD)製程、化學增強電漿氣相沉積(CEPVD)製程、電漿增強化學氣相沉積(PECVD)製程、濺射物理氣相沉積(PVD)製程、原子層沉積(ALD)和電漿增強ALD (PEALD)。可以在基板上執行之製程的額外示例包含但不限於蝕刻(例如化學蝕刻、電漿蝕刻、反應性離子蝕刻、原子層蝕刻(ALE)、電漿增強ALE(PEALE)等)和清潔製程。
在處理期間,基板係佈置在例如站裡之基座的基板支撐件上。在沉積期間,包含一或多種前驅物的氣體混合物係被引入站中,且可以可選地撞擊電漿以激活化學反應。在蝕刻期間,包含蝕刻氣體的氣體混合物係被引入站中,且可以可選地撞擊電漿以激活化學反應。電腦控制的機器人通常按照將進行處理的順序將基板從一站傳送到另一站。
原子層沉積(ALD)是一種薄膜沉積方法,其順序地執行氣態化學處理,以在材料的表面(例如半導體晶圓表面的基板)沉積薄膜。大多數ALD反應會使用一或多種稱為前驅物(反應物)的化學物質,這些化學物質係以連續、自限的方式與材料表面發生反應。提供前驅物的步驟稱為給劑步驟。典型上淨化步驟係在兩個連續的給劑步驟之間進行。藉由反覆接觸前驅物,材料表面逐漸沉積一層薄膜。熱ALD(T-ALD)乃在經加熱的處理室中進行。吾人係使用真空泵及受控的惰性氣體流將處理室保持在亞大氣壓。將欲塗有ALD膜的基板放置在處理室中,並允許在開始ALD處理之前與處理室的溫度平衡。原子層蝕刻包含在自限制化學改質步驟和蝕刻步驟之間交替的順序。化學改質步驟僅影響基板的頂部原子層。蝕刻步驟僅從基板上移除化學改質區域。該順序允許從基板上移除個別原子層。
基板處理系統包含N個站和N個閥系統,其中N為大於1的整數。N個站係配置用以對基板進行處理。N個閥系統分別連接到N個站。N個閥系統中的每一個都包含歧管區塊、複數閥和流量控制裝置。歧管區塊包含接收處理氣體和惰性氣體的入口、連接到N個站之一的出口、以及配置在歧管區塊內並連接至入口及出口的複數氣體流動通道。安裝至歧管區塊的複數閥係配置用以控制通過出口之處理氣體及惰性氣體的流量。安裝至歧管區塊的流量控制裝置係配置用以控制通過歧管區塊而到N個站其中之一的惰性氣體流量。N個閥系統中之各者的流量控制裝置係經校準以平衡N個站中之惰性氣體的流量。
在其他特徵中,流量控制裝置係包含二埠閥(2-port valve),且在N個閥系統之各者中之二埠閥的流動係數係經校準以平衡N個站中之惰性氣體的流量。
在其他特徵中,流量控制裝置係包含流通式帶蓋孔組件,且在流通式帶蓋孔組件中之孔係設計成用於平衡N個站中之惰性氣體的流量。
在另一個特徵中,基板處理系統進一步包含控制器,其配置係用以校準N個閥系統之各者中的流量控制裝置以平衡N個站中之惰性氣體的流量。
在其他特徵中,基板處理系統進一步包含N個感應器以及一控制器。N個感應器係配置用以分別感應自N個閥系統進入N個站的氣流。控制器係配置用以基於接收自N個感應器之至少其中一者的資料來校準N個閥系統之至少其中一者的流量控制裝置,以平衡N個站中之惰性氣體的流量。
在其他特徵中,基板處理系統進一步包含計量系統及控制器。計量系統係配置用以分析在N個站之一或多個站中處理的一或多個基板。控制器係配置用以基於接收自計量系統的資料來校準N個閥系統之至少其中一者的流量控制裝置,以平衡N個站中之惰性氣體的流量。
在其他特徵中,歧管區塊係包含第二出口,且閥的其中之一係配置用以在處理的一步驟期間選擇性地將一或多個氣體從歧管區塊轉向通過第二出口。
在另一個特徵中,N個閥系統之各者中之流量控制裝置係配置用以控制以複數流速供應至N個站之各者之惰性氣體的流量。
在另一個特徵中,N個閥系統之各者中之流量控制裝置係配置用以控制以第一流速不間斷地供應至N個站之各者的惰性氣體的流量以及以大於第一流速的第二流速週期性地供應至N個站之各者的惰性氣體的流量。
在其他特徵中,複數閥係包含四埠閥(4-port valve)以及二埠閥,且其中流量控制裝置包含二埠閥。
在其他特徵中,複數閥係包含四埠閥以及二埠閥,且其中流量控制裝置包含流通式帶蓋孔組件。
在其他特徵中,該處理係包含原子層沉積處理,且流量控制裝置係配置用以在原子層沉積處理的給劑及淨化步驟期間控制惰性氣體的流量。
在其他特徵中,基板處理系統包含N個站、N個閥系統和控制器,其中N是大於1的整數。N個站係配置用以在基板上執行處理。N個閥系統分別連接至N個站。N個閥系統中的每一個包含歧管區塊、複數閥和流量控制裝置。歧管區塊包含接收處理氣體及惰性氣體的複數入口、連接至N個站其中之一的出口、以及配置在歧管區塊內並連接至入口及出口的複數氣體流動通道。複數閥係安裝至歧管區塊,且其配置係用以控制通過出口之處理氣體及惰性氣體的流量。流量控制裝置係安裝至歧管區塊,且其配置係用以控制通過歧管區塊而到N個站之其中一站內之惰性氣體的流量。控制器係配置用以校準在N個閥系統中之各者的流量控制裝置以在原子層沉積處理的給劑及淨化步驟期間平衡N個站中之惰性氣體的流量。
在其他特徵中,流量控制裝置係包含二埠閥,且在N個閥系統之各者中之二埠閥的流動係數係經校準以平衡在N個站中之惰性氣體的流量。
在其他特徵中,流量控制裝置係包含流通式帶蓋孔組件,且在流通式帶蓋孔組件中之孔係設計成用於平衡N個站中之惰性氣體的流量。
在其他特徵中,基板處理系統進一步包含N個感應器,其配置係用以分別感應自N個閥系統進入N個站的氣流。控制器係配置用以基於接收自N個感應器之至少其中一者的資料來校準N個閥系統之至少其中一者的流量控制裝置,以平衡N個站中之惰性氣體的流量。
在另一特徵中,基板處理系統進一步包含計量系統,其配置係用以分析在N個站之一或多個站中處理的一或多個基板。控制器係配置用以基於接收自計量系統的資料來校準N個閥系統之至少其中一者的流量控制裝置,以平衡N個站中之惰性氣體的流量。
在其他特徵中,歧管區塊係包含第二出口,其中複數閥的其中之一係配置用以在原子層沉積處理之淨化步驟期間選擇性地將一或多個氣體從歧管區塊轉向通過第二出口。
在另一個特徵中,N個閥系統之各者中之流量控制裝置係配置用以在給劑及淨化步驟期間控制以複數流速供應至N個站之各者的惰性氣體的流量。
在另一個特徵中,N個閥系統之各者中之流量控制裝置係配置用以控制在給劑及淨化步驟期間以第一流速不間斷地供應且在淨化步驟期間以大於第一流速的第二流速供應至N個站之各者的惰性氣體的流量。
在其他特徵中,複數閥係包含四埠閥以及二埠閥,且流量控制裝置包含一二埠閥或一流通式帶蓋孔組件。
根據詳細描述、申請專利範圍以及附圖,本揭露內容之進一步應用領域將變得顯而易見。詳細描述和特定範例僅旨在說明的目的,並不意欲限制本揭露內容的範圍。
基板處理系統(也稱為工具)係包含複數站(也稱為處理模組或處理室)。該工具包含氣體輸送系統,其藉由多種氣體流動路徑將多種氣體輸送到站。氣體的非限制性示例包含處理氣體、載氣、前驅物氣體、淨化氣體、清潔氣體等。氣體流動路徑乃由導管、閥和歧管區塊界定。
氣體輸送系統係使用閥系統來控制各種氣體進入站的流量。例如在多個站中的基板上執行原子層沉積(ALD)的工具中,每一站通常使用包含兩個閥的閥系統。第一閥用於在處理的給劑步驟期間將前驅物供應到站。第二閥用於在處理的淨化步驟期間將殘留前驅物從站中轉移。第二閥乃防止殘留的前驅物在淨化步驟中進入站。
此外,在處理期間係將惰性氣體供應到每一站。惰性氣體通常藉由兩個歧管供應到每一站。在整個處理期間,惰性氣體係以相對低的流速(第一流速)藉由第一歧管供應到站。以低的第一流速供應惰性氣體稱為滴流供應。此外,在淨化步驟期間,惰性氣體係以比第一流速更高的流速(第二流速)藉由第二歧管週期性地供應至站(例如在兩個給劑步驟之間)。除了滴流供應之外,以較高的第二流速供應惰性氣體稱為突發供應。
目前,除了使用開關閥外,並沒有採用流量控制器來透過這些歧管向站點供應惰性氣體。因此,除了打開或關閉進入站的惰性氣體流之外,進入站的惰性氣體流量並未受到調節。此外,由於氣體分配系統之部件的製造差異,惰性氣體在站間的流動並不平衡。
站點之間的氣流不平衡會導致多種問題。例如當電漿在處理期間於一站中被撞擊時,可能會發生不想要的電漿不穩定性。此外,由於站之間的流動不平衡,每一站的電漿不穩定性也不同。通常,製程處理是根據為製程處理限定的參數來執行。然而,限定的處理參數可能是接近會導致不想要之電漿不穩定性的值。隨著流量不平衡的情況增加,不想要的電漿不穩定性可能發生在一或多個站中。在沒有流量平衡的情況下,為避免電漿不穩定,需要將處理參數限定為遠離不穩定狀態以避免任何站中的電漿不穩定。將處理參數限定為遠離不穩定狀態可能會需要與最佳處理參數有很大偏差。
理想情況下,根據站點之間的流量不平衡,此類偏差可能因站點而異。然而,實際上這樣的偏差並無法在不同站之間變化,至少不能以受控方式變化,因為ISO標準要求在所有工具和所有站上使用相同的偏差,如此並不能對硬體的可變性負責。因此,在沒有流量平衡的情況下,通常使用大到足以應對最壞情況的單一偏差,這可以是非常顯著的偏差且因此在不平衡設計中是不希望出現的。取而代之的是,有了流量平衡就可以使偏差最小化,並且可以使用接近製程處理限定參數的最佳參數值來執行處理,並使電漿不穩定性在整個工作站中保持一致。
本揭露內容提供了可控第三閥形式的流量控制旋鈕,以在從兩個歧管進入每一站的滴流和突發供應期間調節惰性氣體的流量。藉由第三閥進入每一站的惰性氣體流量可以獨立控制,使得流向所有站的惰性氣體是平衡的。惰性氣體透過第三閥供應到站之流量係數(C v,下面會解釋)可以經校準以補償氣體分配系統中的製造差異。第三閥的流量係數可在工具的製造、安裝及/或壽命期間(例如在預防性維護期間)校準。或者,可以使用流通式帶蓋孔組件(下文稱為帶蓋孔組件)取代第三閥來調節進入每一站的惰性氣體流量。用於每一站之帶蓋孔組件的孔可以根據站的已知C v要求來確定尺寸,以平衡到工具中之所有站的惰性氣體流量。
第一閥用於在給劑步驟期間將前驅物供應到站,第二閥用於在淨化步驟期間轉移前驅物,第三閥(或帶蓋孔組件)用於調節進入站的惰性氣體流量,此三閥係安裝在歧管區塊上。歧管區塊包含入口以接收來自相應歧管的前驅物和惰性氣體。歧管區塊包含出口以在給劑步驟期間將氣體供應到站並且在淨化步驟期間從站轉移氣體。歧管區塊包含連接到閥、入口和出口的多個氣體流動通道。氣體流動通道係設計成用以使盲管(即氣體在氣體流動通道中的停滯)最小化。此外,歧管區塊中可設置一或多個可控加熱器,以防止氣體流動通道周圍發生冷凝。一組的第一、第二和第三閥以及歧管區塊(稱為閥系統)便將各個氣體供應到站中的噴淋頭。每一站都使用獨立的閥系統。
此外,來自位於閥系統下游的一或多個感應器的反饋可用於控制惰性氣體通過第三閥(或帶蓋孔組件)到每一站的流量,以實現站到站的氣流平衡。例如可以從位於噴淋頭及/或站中的感應器接收這樣的反饋。或者或額外地,來自與工具相關聯之計量系統的反饋可用於實現站到站的氣流平衡。例如這樣的反饋可以包含計量系統從站中進行處理之基板所收集的資料,其可以指出由氣流平衡引起的處理變化。下面更詳細地描述本揭露內容之閥系統的這些和其他特徵。
在詳細描述閥系統之前,首先參考圖1A-1C顯示和描述的多種非限制性示例工具配置。這些示例顯示了包含不同氣體輸送系統的工具,其中可以使用本揭露內容之包含三個閥的閥系統。為完整起見,請參考圖2A和2B顯示和描述二個閥系統的示例,其不像本揭露內容之閥系統那樣控制惰性氣體的流動。隨後,本揭露內容之閥系統係參考圖3A及之後的圖來詳細描述。
圖1A-1C顯示了採用本揭露內容之閥系統的不同工具配置示例。圖1A顯示具有站共用之質量流量控制器(MFC)的工具10的示例。圖1B顯示每一站具有獨立MFC的工具11的示例。圖1C顯示了採用本揭露內容之閥系統的工具100的另一個示例,其中更詳細地顯示了閥系統和氣體分配系統的其他部件以及工具。雖然圖1C僅顯示了一個站點的細節,吾人應理解該工具中的其他站點也使用類似的設置。
如圖1A所示,工具10包含氣體源12。氣體源12供應多種氣體,包含但不限於前驅物、反應物、惰性氣體、淨化氣體、清潔氣體和其他氣體。工具10包含一組MFC 16。MFC 16乃控制由氣體源12供應之氣體的質量流量。MFC 16係將氣體從氣體源12通過各個歧管(如圖1C所示)供應到複數閥系統18-1、18-2、18-3和18-4(統稱為閥系統18)。閥系統18的示例係參考圖3A及之後的圖而有詳細顯示和描述。
工具10包含複數個站20-1、20-2、20-3和20-4(統稱為站20)。站20分別包含噴淋頭22-1、22-2、22-3和22-4(統稱為噴淋頭22)。閥系統18分別連接到噴淋頭22。閥系統18將氣體源12供應的氣體透過各別的噴淋頭22供應到各自的站20。閥系統18以預定流速將氣體供應到站20。如下所述,流速係藉由校準閥系統18而預先決定以平衡到站20的氣體流量。
吾人應注意此處顯示四個站20和四個閥系統18僅係作為示例。通常,工具10可包含N個站20和N個閥系統18,其中N是大於2的整數。工具10還包含系統控制器24。系統控制器24乃控制氣體源12、MFC 16、閥系統18以及站20和工具10的其他部件。站20和工具10的其他部件的示例係參考圖1C而在下面顯示和描述。
工具10還包含複數感應器30。感應器30可以分佈在整個工具10中。例如感應器30可以位於閥系統18的下游(例如在噴淋頭22及/或站20中)。感應器30係向系統控制器24提供關於每一站20中氣流的反饋。系統控制器24便使用該反饋來調節閥系統18中之閥的流量係數,以平衡遍及站20的氣體流量。
或者或額外地,工具10還可以包含計量系統32。計量系統32可以分析在站20中處理的基板並且提供指出站20之間流量不平衡的資料。系統控制器24並使用該資料來調整閥系統18中之閥的流量係數,以平衡遍及站20的氣體流量。吾人應注意,這些調整可以在工具10的製造、安裝及/或預防性維護期間作為校準來進行。
如圖1B所示,工具11包含圖1A所示工具10的所有元件,除了工具11包含用於每一站20的獨立MFC 16-1、16-2、16-3和16-4(統稱為MFC 16)之外。在工具11中,每個MFC 16係透過相應的歧管而連接到相應的閥系統18。在其他方面,對工具11之其他元件的描述係與圖1A中所示之工具10的相應元件的描述相同。因此,為了簡潔起見,不再重複對工具11之其他元件的描述。
圖1C更詳細地顯示採用本揭露內容之閥系統18的工具100的示例。為了說明的目的,僅顯示了一個站20和一個閥系統18。吾人應當理解,工具100係包含N個站20和分別連接到N個站20的N個閥系統18,其中N是大於2的整數。工具100包含系統控制器24,其校準N個閥系統18中的閥以平衡流過N個站20的氣流,如下面參考圖3A及以後的圖的描述。
工具100可以使用各種製程在站20中處理基板。例如製程可以包含原子層沉積(ALD)、電漿增強ALD(PEALD)、化學氣相沉積(CVD)、電漿增強CVD(PECVD)及/或其他沉積和蝕刻處理。下面參考站20和閥系統18提供之描述乃適用於工具100中的所有站20和閥系統18以及圖1A和1B中所示的工具10、11。
工具100包含一或多個氣體輸送系統120-1、120-2等(統稱為氣體輸送系統120)。氣體輸送系統120可包含一或多個氣體源122-1、122-2、……和122-N(統稱為氣體源122),其中N是大於1的整數。閥124-1、124-2、……和124-N(統稱為閥124)和質量流量控制器126-1、126-2、……和126-N(統稱為質量流量控制器126)或其他流量控制裝置可用於將一或多種氣體可控地供應到歧管130。汽化前驅物輸送系統128將汽化前驅物供應到歧管130。歧管130將氣體混合物供應到閥系統18。
閥系統18係參考圖3A及以後的圖來更詳細地描述。簡而言之,閥系統18包含歧管區塊132和閥V1、V2和V3。閥V1、V2和V3分別對應於上述第一、第二和第三閥。歧管區塊132包含兩個入口140、142和兩個出口144、146。歧管區塊132經由第一入口140從歧管130接收處理氣體。此外,氣體輸送系統120-2將惰性氣體經由第二入口142供應到歧管區塊132。氣體輸送系統120-2經由第一歧管134和第二歧管136將惰性氣體供應到歧管區塊132。例如,氣體輸送系統120-2在整個處理期間經由第一歧管134供應惰性氣體作為滴流供應(如上所述)。氣體輸送系統120-2在淨化步驟期間經由第二歧管136供應惰性氣體作為突發供應(也如上所述)。兩個歧管134、136的輸出連接在一起以形成合併輸出。該合併輸出係連接到歧管區塊132的第二入口142。在一些示例中,可以使用單一歧管取代兩個歧管134、136,且該單一歧管可以連接到歧管區塊132的第二入口142。
閥V1、V2和V3係安裝到歧管區塊132。例如閥V1是四埠閥,閥V2和V3是二埠閥。歧管區塊132包含複數氣體流動通道,其在下文中參考圖4A和4B有詳細顯示和描述。氣體流動通道係連接到閥V1、V2和V3,並連接到歧管區塊132的入口140、142和出口144、146。閥V1、V2和V3控制了流入站20的氣體流量。閥V1在處理的給劑步驟期間控制了流入站20的處理氣體。閥V2轉移了處理氣體並防止轉移的氣體在處理的淨化步驟期間進入站20。閥V3控制惰性氣體(整個處理期間的滴流供應和淨化步驟期間的突發供應)流入站20。
閥系統18使用例如噴淋頭22的氣體分配裝置將處理氣體混合物供應到站20。在一些示例中,噴淋頭22是枝形吊燈式的噴淋頭。在站20中,例如半導體晶圓的基板118可以在處理期間佈置在基板支撐件116上。基板支撐件116可包含基座、靜電卡盤、機械卡盤或其他類型的基板支撐件。雖然未顯示,但噴淋頭22和基板支撐件116可包含加熱和冷卻系統,其在基板118的處理期間、站20的清潔等期間控制了噴淋頭22和基板支撐件116的溫度。
在一些處理中,藉由向噴淋頭22提供RF功率來激發電漿,其中基板支撐件116接地或浮動。或者,電漿可以藉由將RF功率供應到基板支撐件116而噴淋頭22接地或浮動來激發。RF電漿產生器150係產生用於在站20中產生電漿的RF功率。RF電漿產生器150可以是電感型或電容型RF電漿產生器。例如RF電漿產生器150可以包含產生RF電壓的RF電源152以及將RF電壓供應到噴淋頭22或基板支撐件116的匹配和分配網路154。或者,電漿可以從站20的遠端(即在站20的外部)產生並且可以供應至站20。
系統控制器24乃監測例如溫度、壓力等的處理參數(使用一或多個感應器30)並控制站20中的處理時間。系統控制器24控制工具100的部件,例如氣體輸送系統120、噴淋頭22和基板支撐件116中的加熱和冷卻系統、RF電漿產生器150和閥系統18。系統控制器24亦控制閥160和泵162,它們係用於控制站中的壓力20並抽空站20。
圖2A和2B顯示僅具有閥V1和V2而沒有閥V3的閥系統19。圖2A顯示閥系統19的方塊圖。圖2B顯示閥系統19的示意圖。在圖2A中,閥系統19包含歧管區塊133,閥V1和V2係安裝到歧管區塊133。類似於歧管區塊132,歧管區塊133具有兩個入口140、142和兩個出口144、146。類似於歧管區塊132,歧管區塊133係連接到歧管130、134、136。
在圖2B中,閥V1是四埠閥,閥V2是二埠閥。閥V1和V2的埠號係由數字1、2、3和4標示。閥V2是常閉閥(顯示為NC)。如圖所示,歧管區塊133包含將閥V1和V2的埠連接到入口140、142和出口144、146的氣體流動通道。當閥系統19用於圖1A-1C所示的工具時,在沒有閥V3的情況下,遍及站20的惰性氣體流是不平衡的。流量不平衡會導致如上所述的處理不均勻及電漿不穩定。
具體而言,在圖1A-1C所示的工具10、11和100中,若使用圖2A和2B中所示的雙閥系統19來取代三閥系統18,當站20在基板上執行相同的處理時,可能會發生站到站的處理不均勻和電漿不穩定。不均勻性和電漿不穩定性可能由於例如氣體輸送系統之部件中的製造差異等因素引起站20中的流動不平衡而發生。例如很難完美匹配用於向每一站供應氣體的各個管道、閥和歧管的尺寸。藉由使用閥系統18,便可透過獨立控制每個閥系統18中之閥V3的流量係數來實現站到站流量平衡。具體而言,每個閥系統18中之閥V3的流量係數係經調節以補償與站20一起使用之氣體輸送系統之部件的製造差異。將每個閥系統18中之閥V3的流量係數進行調節會使得到站20的惰性氣體流量是平衡的。因此,當站20在基板上執行相同的處理時,無論製造差異如何,站到站的處理不均勻性和電漿不穩定性都顯著降低。
例如閥V3的流量控制裝置之流量係數C v是流量控制裝置允許流體流動之效率的相對量度。流量係數C v描述了流量控制裝置兩端的壓降與相應流速之間的關係。流量係數C v(即閥的額定流量)可用下式表示: 其中Q是流體的流速,SG是流體的比重,ΔP是閥兩端的壓降。
流量係數可用於比較閥容量和確定閥尺寸。系統控制器24可用於控制閥系統18中每個閥V3的C v,以補償製造差異並平衡站20之間的惰性氣體流量。或者,如果站所需的C v值是已知的,閥V3可以由流通式帶蓋孔組件取代,其在下文中參考圖5顯示和描述。在帶蓋孔組件中,孔的尺寸係經設計(即選擇)以提供所需的C v值。也就是說,以尺寸來選擇孔並以所需的C v值將惰性氣體供應到站20。使用閥V3或帶蓋孔組件來平衡遍及站20之惰性氣體流會使得站20在處理不均勻性和電漿不穩定性方面表現一致。閥V3和帶蓋孔組件可統稱為流量控制裝置。
每個閥系統18中之閥V3可以根據經驗來校準(例如可以設定每個閥系統18中之閥V3的C v值)。閥V3可以在工具製造期間及/或在現場設定工具時校準。亦即,根據站20中基板的處理要求,可以藉由閥系統18中之閥V3來獨立調節供給站20之惰性氣體的流量,以達到遍及站20的流量平衡。對每個閥系統18中之閥V3的C v值進行調整乃補償了在氣體輸送系統之部件製造中可能無法避免發生的製造差異。因為此調整,到每一站20之惰性氣體的流量便可以獨立控制以補償製造差異。
此外,閥V3可以在工具的預防性維護期間根據需要重新校準。此外,閥V3也可以在運行期間(即在處理運行時)進行調整。例如系統控制器24可以接收來自感應器30的反饋。來自感應器30的反饋可以指出可能在工具的某一站而不是在其他站正在發生不均勻和電漿不穩定。系統控制器24可以基於來自感應器30的反饋來調節與該站相關聯之閥V3的C v
或者或額外地,系統控制器24可以從原位(或外部)計量系統32接收資料作為反饋。雖然為了簡化對工具100之其他元件的說明而沒有顯示在圖1C中,圖1C中所示的系統控制器24亦與計量系統32通訊(如圖1A和1B所示)。例如計量系統32可以測量在站中處理之基板的特性。例如計量系統32可以測量因站中之處理而沉積在基板上的膜的厚度。來自計量系統32的資料可以指出在一站中使用一製程處理之基板與在另一站中使用相同製程處理之基板相比的差異。基於來自計量系統32的資料,系統控制器24可以調整基板特性指出變化之該站之相關聯閥V3的C v。雖然在每一站20中使用相同製程處理基板,但仍可能發生變化。藉由調節與發生變化的站相關聯之閥V3的C v,到每一站20的惰性氣體流量便可以平衡,並且可以減輕變化。
圖3A和3B更詳細地顯示了閥系統18。圖3A顯示閥系統18的方塊圖。圖3B顯示了閥系統18的示意圖。如圖3A所示,閥系統18包含歧管區塊132,而閥V1、V2和V3係安裝到歧管區塊132。歧管區塊132具有兩個入口140、142和兩個出口144、146。歧管區塊132係連接到歧管130、134、136。
在圖3B中,閥V1是四埠閥,閥V2和V3是二埠閥。閥V1、V2和V3的埠號係由數字1、2、3和4標示。閥V2和V3為常閉閥(顯示為NC)。雖然此處顯示了特定類型的閥,但也可以使用可提供所述功能之其他類型的閥。
如圖所示,歧管區塊132包含將閥V1、V2和V3的埠連接到入口140、142和出口144、146的氣體流動通道。歧管區塊132的氣體流動通道參考圖4A和4B而在下文中有詳細顯示和描述。當閥系統18用於圖1A-1C所示的工具10、11、100時,且閥V3的C v如上所述般地被校準,且遍及站20的惰性氣體流是平衡的,如此會如上所述般的使處理不均勻性和電漿不穩定性最小化。
圖4A和4B顯示了歧管區塊132內的氣體流動通道及其與閥V1、V2、V3以及與入口140、142和出口144、146的連接。圖4A顯示了沒有加熱器的氣體流動通道。圖4B顯示了帶有加熱器的氣體流動通道。在下面的描述中,氣體流動通道簡稱為氣體通道。
在圖4A中,歧管區塊132包含六個氣體通道。第一氣體通道200係從歧管區塊132的第一入口140延伸到四埠閥V1的第二埠V1-2。第二氣體通道202係從歧管區塊132的第二入口142延伸到二埠閥V3的第一埠V3-1。第三氣體通道204則將二埠閥V3的第二埠V3-2連接到四埠閥V1的第四埠V1-4。第四氣體通道206將四埠閥V1的第三埠V1-3連接到第一出口144。第五氣體通道208係將四埠閥V1的第一埠V1-1連接到二埠閥V2的第一埠V2-1。第六氣體通道210係將二埠閥V2的第二埠V2-2連接到第二出口146。歧管區塊132的氣體流動通道係設計成使得歧管區塊132不增加歧管130、134、136和站20之噴淋頭22之間的盲管體積。
系統控制器24係控制閥V1、V2和V3以在給劑和淨化步驟期間將處理氣體和惰性氣體從歧管130、134、136供應到站20的噴淋頭22。具體來說,在給劑步驟期間,閥V1打開(即埠V1-1係與埠V1-3流體連通),閥V2關閉,且閥V3打開。來自歧管130的前驅物經由第一入口140進入歧管區塊132,流過第一氣體通道200進入埠V1-2,流過埠V1-1和V1-3而進入第四氣體通道206,且流經第一出口144進入噴淋頭22。
此外,由於閥V3在給劑步驟期間打開,來自第一歧管134之惰性氣體的滴流供應乃經由第二入口142進入歧管區塊132,流入第二氣體通道202,流過埠V3-1和V3-2而進入第三氣體通道204,流經埠V1-4和V1-3進入第四氣體通道206,且流經第一出口144而進入噴淋頭22。由於閥V2關閉,前驅物或惰性氣體都不流過閥V2也不流過第六氣體通道210進入歧管區塊132的第二出口146。
在淨化步驟期間,閥V1關閉(即埠V1-1不與埠V1-3流體連通),且閥V2和V3打開。來自第二歧管136之惰性氣體的突發供應乃經由第二入口142進入歧管區塊132而進入第二氣體通道202,流經埠V3-1和V3-2而進入第三氣體通道204,流經埠V1-4和V1-3而進入第四氣體通道206,並流經第一出口144而進入噴淋頭22。由於閥V1關閉(即埠V1-1不與埠V1-3流體連通),前驅物在淨化步驟期間並不流入噴淋頭22。此外,由於閥V2打開,第五氣體通道208中來自先前給劑步驟的殘餘前驅物便被轉移通過閥V2、第六氣體通道210和第二出口146。
在給劑和淨化步驟中,每個閥系統18中之閥V3的經校準C v設定係確保到站20之惰性氣體流量是平衡的,不論用於向站20供應各種氣體的氣體輸送系統之部件的製造差異如何。
在圖4B中,歧管區塊132顯示為具有兩個加熱器220、222。除了添加加熱器220、222之外,圖4B中所示的歧管區塊132係與圖4A相同。因此,圖4A的描述同樣適用於圖4B,為了簡潔不再重複。雖然僅作為示例顯示兩個加熱器,但是可以替代地使用任何數量的加熱器(例如一個、三個、四個或更多)。加熱器220、222係防止歧管區塊132之氣體流動通道周圍的冷凝。圖1A-1C中所示的系統控制器24乃用於控制加熱器220、222的電源。例如加熱器220、222可包含插裝加熱器(cartridge heater)。或者,雖然未顯示,但加熱器220、222可包含夾套加熱器(jacket heater)。
圖5顯示了可取代閥系統18中之閥V3使用的流通式帶蓋孔組件300。流通式帶蓋孔組件(下文稱為帶蓋孔組件)300可與閥V1和V2以及歧管區塊132一起實現流量平衡。如果站點所需的C v值為已知,便可以使用帶蓋孔組件300。帶蓋孔組件300中孔的尺寸可經選擇以在滴流和突發供應期間以所需的C v值供應惰性氣體。
帶蓋孔組件300係安裝到歧管區塊132以取代閥V3。帶蓋孔組件300係包含蓋302和孔板304。蓋302包含連接到安裝板308的杯形圓柱部306,安裝板308則安裝到歧管區塊132。安裝板308包含入口310和出口312。安裝板308係使用複數緊固件316-1、316-2、316-3、316-4(不可見)來安裝到歧管區塊132。安裝時,入口310和出口312係在閥V3的埠V3-1和V3-2連接到歧管區塊132的位置處分別連接到歧管區塊132。
孔板304為圓盤形結構,在孔板304的中心具有孔305。孔305的尺寸可經選擇以提供站20所需的預定C v。孔板304係安裝到帶蓋孔組件300的出口312。圓柱部306係包含將帶蓋孔組件300之入口310連接到帶蓋孔組件300之出口312的氣體流動通道314。
使用時,惰性氣體係經由入口310流入帶蓋孔組件300,進入氣體流動通道314,並經由孔305以預定的C v通過出口312流入歧管區塊132。帶蓋孔組件300可以安裝在與任何站20相關聯的歧管區塊132上。孔305的尺寸可經選擇以提供該站20所需的預定C v。站20之處理所需的C v可以在安裝了用於站20之氣體輸送系統的所有部件後憑經驗決定。藉由為每一站使用具有特定尺寸的孔305,不管與站20一起使用之氣體輸送系統的部件的製造差異如何,遍及站20的惰性氣體流是平衡的。
當帶蓋孔組件300與歧管區塊132一起使用時,流經歧管區塊132和閥V1和V2的氣體流量係與上面參考圖4A描述的相同。氣體流量相同是因為類似於在給劑和淨化步驟期間打開的閥V3,孔305在這兩個步驟期間都打開。與相應閥系統18一起使用的一或多個孔305的尺寸係經選擇,以確保不管用於將各個氣體供應到站20之氣體輸送系統的部件的製造差異如何,到站20的惰性氣體流是平衡的。
圖6A和6B更詳細地顯示了包含帶蓋孔組件300的閥系統18。圖6A顯示閥系統18的方塊圖,其包含帶蓋孔組件300而不是閥V3。圖6B則顯示閥系統18的示意圖,其包含取代閥V3的帶蓋孔組件300。除了用帶蓋孔組件300替換閥V3之外,圖6A與圖3A相同。因此,為了簡潔起見,不再進一步詳細描述圖6A。
在圖6B中,如圖3B,閥V1和V2分別是四埠閥和二埠閥,但是可以替代性地使用提供所述功能之其他類型的閥。閥V1和V2的埠號係由數字1、2、3和4標示。閥V2是常閉閥(顯示為NC)。帶蓋孔組件300係安裝到歧管區塊132而不是閥V3。如圖所示,歧管區塊132包含將閥V1和V2的埠以及帶蓋孔組件300連接到入口140、142和出口144、146的氣體流動通道。歧管區塊132的氣體流動通道係與上文參考圖4A和4B所示及描述的相同。因此為了簡潔起見不再描述。當閥系統18用於圖1A-1C所示的工具10、11、100時,且孔305的尺寸是根據站20的已知C v值來選擇的,遍及站20的惰性氣體流便是平衡的,如此會如上述般地使處理不均勻性和電漿不穩定性最小化。
圖7顯示了由系統控制器24執行的方法400以平衡遍及站20的惰性氣體流。吾人應注意,系統控制器24可以在工具安裝之前(即在製造期間)、在安裝工具期間、在工具的預防性維護期間及/或在站20中執行處理時執行方法400。雖然可以在製造、設置和預防性維護期間確定和選擇孔305的尺寸,但是孔305不能在站20中執行處理時更換。相對地,閥V3的C v可以在這些程序的任何期間進行調整。
在步驟402,方法400分別使用連接到站20的閥系統18而將處理氣體和惰性氣體供應到站20。在步驟404,方法400在站20中撞擊電漿。在步驟406,方法400判定在一或多個站中是否檢測到電漿不穩定性及/或其他處理不均勻性。例如可以基於來自一或多個感應器30的資料及/或來自計量系統32的資料來檢測電漿不穩定性(及/或不均勻性)。如果沒有在任何一個站20中檢測到電漿不穩定性(及/或不均勻性),便結束方法400。
如果在任一站20中檢測到電漿不穩定性(及/或不均勻性),則在步驟408,方法400便對應於檢測到不穩定性(及/或不均勻性)電漿之該站或多站20來調整其閥系統18中之閥V3的C v。或者,如果使用帶蓋孔組件300取代閥V3,則選擇具有不同尺寸而適於以站20所需的預定C v值將惰性氣體供應到站20的不同孔305。接著,方法400返回到步驟402。
以上描述本質上僅是說明性的,絕不旨在限制本揭露內容、其應用或用途。本揭露內容的廣泛教示可以以多種形式實現。因此,儘管本揭露內容包含特定範例,但是本揭露內容的真實範圍不應受到如此限制,因為在研究附圖、說明書和所附申請專利範圍之後,其他修改將變得顯而易見。
吾人應當理解,在不改變本揭露內容之原理的情況下,可以以不同的順序(或同時)執行方法內的一或多個步驟。此外,儘管以上將實施例中的每一個描述為具有某些特徵,但是對於本揭露內容中之任何實施例所描述的那些特徵中的任何一或多個特徵可以在任何其他實施例的特徵中實現及/或與其他實施例的特徵組合,即使沒有明確描述該組合。換句話說,所描述的實施例並非互相排斥,且一或多個實施例彼此的置換仍在本揭露內容的範圍內。
此處使用各種用語來描述元件之間(例如模組、電路元件、半導體層等之間)的空間和功能關係,其包含「連接」、「接合」、「耦合」、「相鄰」、「在…旁邊」、「在...之上」、 「在…上方」、「在…下方」、以及「配置於…」。除非明確描述為「直接」,否則在以上揭露內容中描述之第一元件和第二元件之間的關係時,該關係可以是在第一元件和第二元件之間不存在其他中間元件的直接關係,但是也可以是在第一元件和第二元件之間(空間上或功能上)存在一或多個中間元件的間接關係。如本文所使用的,用語A、B和C中的至少一個應使用非排他性的邏輯「或(OR)」來解釋為表示邏輯(A或B或C),並且不應解釋為表示成「至少一個 A、至少一個B及至少一個C」。
在一些實施方式中,控制器是系統的一部分,其可以是上述例子的一部分。這樣的系統可以包含半導體處理設備,其包含一或多個處理工具、一或多個腔室、一或多個用於處理的平台及/或特定的處理組件(晶圓基座、氣流系統等)。這些系統可以與電子設備整合在一起,以控制在半導體晶圓或基板的處理前、中、後的操作。該電子設備可以指稱為「控制器」,其可以控制一或多個系統的各個部件或子零件。
取決於處理要求及/或系統的類型,控制器可以經程式化而控制此處揭露的任何處理,包含處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置和操作設定、晶圓傳送進出工具以及其他傳送工具及/或連接到特定系統或與特定系統相接的負載鎖。
廣義來說,控制器可以定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子設備,其接收指令、發出指令、控制操作、啟用清潔操作、啟用端點測量等。積體電路可包含韌體形式的晶片,其儲存程式指令、數位訊號處理器(DSP)、定義為專用積體電路(ASIC)的晶片及/或一或多個微處理器或執行程式指令之微控制器(例如軟體)。
程式指令可以是以各種個別設定(或程式檔案)的形式傳遞給控制器的指令,其定義用於在半導體晶圓或系統上或針對半導體晶圓或系統執行特定處理的操作參數。在一些實施例中,操作參數可以是由處理工程師定義之配方的一部分,以在製造下列一或多個的期間完成一或多個處理步驟: 層、材料、金屬、氧化物、矽、矽氧化物、表面、電路以及/或晶圓之晶粒。
在一些實施方式中,控制器可以是電腦的一部份或是耦合至電腦,而電腦則是整合至系統、耦合至系統或與系統聯網,或前述的組合。例如控制器可以在「雲端」中或在晶圓廠電腦主機系統的全部或一部分中,如此可以允許對晶圓處理的遠端存取。該電腦可以啟動對系統進行遠端存取,以監控製造操作的當前進度、檢查過去製造操作的歷史、檢查來自複數製造操作的趨勢或性能指標、改變當前處理的參數、設定處理步驟以接續當前處理、或開始新的處理。
在一些例子中,遠端電腦(例如伺服器)可以通過網路向系統提供處理配方,該網路可以包含區域網路或網際網路。遠端電腦可以包含使用者界面,而使得能夠對參數及/或設定進行輸入或程式化,然後將參數及/或設定從遠端電腦傳送到系統。在一些例子中,控制器接收資料形式的指令,其為在一或多個操作期間要執行的每個處理步驟限定參數。吾人應理解,參數係針對於欲進行處理的類型以及控制器用以與之相接或控制的工具類型。
因此如上所述,可以例如透過包含被聯網在一起並朝著共同目的而工作的一或多個離散控制器(例如本文中所描述的處理和控制)來分佈控制器。用於此種目的之分佈式控制器的例子為腔室中的一或多個積體電路,其與遠端(例如在平台等級或作為遠端電腦的一部分)的一或多個積體電路進行通信,這些積體電路相結合以控制腔室中的處理。
系統範例可以包含電漿蝕刻室或模組、沉積室或模組、旋轉清洗室或模組、金屬電鍍室或模組、清潔室或模組、斜面邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、徑跡室或模組、以及可以與半導體晶圓製造及/或生產相關聯或用於其中之任何其他半導體處理系統,而不受任何限制。如上所述,取決於工具要執行的一或多個處理步驟,控制器可以與下列一或多個通信: 其他工具電路或模組、其他工具組件、叢集工具、其他工具界面、相鄰工具、鄰近工具、遍佈工廠的工具、主電腦、另一控制器或用於可將晶圓容器往返於半導體製造工廠的工具位置及/或裝載埠之材料運輸的工具。
10、11、100:工具 12:氣體源 16、16-1、16-2、16-3、16-4:質量流量控制器(MFC) 18、18-1、18-2、18-3、18-4:閥系統 19:閥系統 20、20-1、20-2、20-3、20-4:站 22、22-1、22-2、22-3、22-4:噴淋頭 24:系統控制器 30:感應器 32:計量系統 116:基板支撐件 118:基板 120、120-1、120-2:氣體輸送系統 122、122-1、122-2、...122-N:氣體源 124、124-1、124-2、....124-N:閥 126、126-1、126-2、...126-N:質量流量控制器 128:汽化前驅物輸送系統 130:歧管 132:歧管區塊 133:歧管區塊 134:第一歧管 136:第二歧管 140:第一入口 142:第二入口 144:第一出口 146:第二出口 150:電漿產生器 152:RF電源 154:匹配和分配網路 160:閥 162:泵 200:第一氣體通道 202:第二氣體通道 204:第三氣體通道 206:第四氣體通道 208:第五氣體通道 210:第六氣體通道 220、222:加熱器 300:帶蓋孔組件 302:蓋 304:孔板 305:孔 306:圓柱部 308:安裝板 310:入口 312:出口 314:氣體流動通道 316-1、316-2、316-3、316-4:緊固件 400:方法 402:步驟 404:步驟 406:步驟 408:步驟 V1、V2、V3:閥 V1-1:V1的第一埠 V1-2:V1的第二埠 V1-3:V1的第三埠 V1-4:V1的第四埠 V2-1:V2的第一埠 V2-2:V2的第二埠 V3-1:V3的第一埠 V3-2:V3的第二埠
透過詳細描述以及附圖,將更加全面地理解本揭露內容,其中:
圖1A和1B顯示了根據本揭露內容之包含複數站及相應閥系統的基板處理系統(工具)的示例;
圖1C顯示了圖1A和1B中所示工具的其中一個站、包含三個閥的相關聯閥系統和其他部件的更詳細說明;
圖2A和2B顯示了包含兩個閥的閥系統的示例;
圖3A和3B顯示圖1A-1C中所示之閥系統示例的更詳細說明;
圖4A和4B顯示了在圖1A-1C的閥系統中使用的歧管區塊示例;
圖5顯示可用於取代圖1A-1C之閥系統中第三閥的流通式帶蓋孔組件的示例;
圖6A和6B顯示圖1A-1C中所示之閥系統示例,其包含圖5的流通式帶蓋孔組件;
圖7顯示了用於平衡圖1A-1C中所示工具之站中的氣流的方法,且其使用圖3A-6B所示的閥系統;
在圖示中,圖示標記可以再次使用以識別相似及/或相同的元件。
10:工具
12:氣體源
16:質量流量控制器(MFC)
18、18-1、18-2、18-3、18-4:閥系統
20、20-1、20-2、20-3、20-4:站
22、22-1、22-2、22-3、22-4:噴淋頭
24:系統控制器
30:感應器
32:計量系統

Claims (21)

  1. 一種基板處理系統,包含: N個站,配置用以在基板上執行一處理,其中N為大於1的整數;以及 N個閥系統,其分別連接至該N個站; 其中該N個閥系統中的每一個係包含: 一歧管區塊,其包含接收一處理氣體及一惰性氣體的複數入口、連接至該N個站之其中一站的一出口、以及配置在該歧管區塊內並連接至該等入口及該出口的複數氣體流動通道; 安裝至該歧管區塊的複數閥,其配置係用以控制流動通過該出口之該處理氣體及該惰性氣體;以及 安裝至該歧管區塊的一流量控制裝置,其配置係用以控制流動通過該歧管區塊而到該N個站之該其中一站內的該惰性氣體;以及 其中該N個閥系統中之各者的該流量控制裝置係經校準以平衡該N個站中之該惰性氣體的該流量。
  2. 如請求項1之基板處理系統,其中該流量控制裝置係包含一二埠閥,且其中在該N個閥系統之各者中之該二埠閥的一流動係數係經校準以平衡在該N個站中之該惰性氣體的該流量。
  3. 如請求項1之基板處理系統,其中該流量控制裝置係包含一流通式帶蓋孔組件,且其中在該流通式帶蓋孔組件中之一孔係設計成用於平衡該N個站中之該惰性氣體的該流量。
  4. 如請求項1之基板處理系統,其進一步包含一控制器,其配置係用以校準該N個閥系統之各者中的該流量控制裝置,以平衡該N個站中之該惰性氣體的該流量。
  5. 如請求項1之基板處理系統,其進一步包含: N個感應器,其配置係用以分別感應來自該N個閥系統進入該N個站的氣流;以及 一控制器,其配置係用以基於接收自該N個感應器之至少其中一者的資料來校準該N個閥系統之至少其中一者的該流量控制裝置,以平衡該N個站中之該惰性氣體的該流量。
  6. 如請求項1之基板處理系統,其進一步包含: 一計量系統,其配置係用以分析在該N個站之一或多個站中處理的一或多個該基板;以及 一控制器,其配置係用以基於接收自該計量系統的資料來校準該N個閥系統之至少其中一者的該流量控制裝置,以平衡該N個站中之該惰性氣體的該流量。
  7. 如請求項1之基板處理系統,其中該歧管區塊係包含一第二出口,其中該閥的其中之一係配置用以在該處理的一步驟期間選擇性地將一或多個氣體從該歧管區塊轉向通過該第二出口。
  8. 如請求項1之基板處理系統,其中該N個閥系統之各者中之該流量控制裝置係配置用以控制以複數流速供應至該N個站之各者的該惰性氣體的該流量。
  9. 如請求項1之基板處理系統,其中該N個閥系統之各者中之該流量控制裝置係配置用以控制以一第一流速不間斷地供應以及以大於該第一流速的一第二流速週期性地供應至該N個站之各者的該惰性氣體的該流量。
  10. 如請求項1之基板處理系統,其中該複數閥係包含一四埠閥以及一二埠閥,且其中該流量控制裝置包含一二埠閥。
  11. 如請求項1之基板處理系統,其中該複數閥係包含一四埠閥以及一二埠閥,且其中該流量控制裝置包含一流通式帶蓋孔組件。
  12. 如請求項1之基板處理系統,其中該處理係包含一原子層沉積處理,且其中該流量控制裝置係配置用以在該原子層沉積處理的給劑及淨化步驟期間控制該惰性氣體的該流量。
  13. 一種基板處理系統,包含: N個站,配置用以在基板上執行一原子層沉積處理,其中N為大於1的整數; N個閥系統,其分別連接至該N個站; 其中該N個閥系統中的每一個係包含: 一歧管區塊,其包含接收一處理氣體及一惰性氣體的複數入口、連接至該N個站之其中一站的一出口、以及配置在該歧管區塊內並連接至該等入口及該出口的複數氣體流動通道; 安裝至該歧管區塊的複數閥,其配置係用以控制流動通過該出口之該處理氣體及該惰性氣體;以及 安裝至該歧管區塊的一流量控制裝置,其配置係用以控制流動通過該歧管區塊而到該N個站之該其中一站內的該惰性氣體;以及 一控制器,其配置係用以校準在該N個閥系統中之各者的該流量控制裝置,以在該原子層沉積處理的給劑及淨化步驟期間平衡該N個站中之該惰性氣體的該流量。
  14. 如請求項13之基板處理系統,其中該流量控制裝置係包含一二埠閥,且其中在該N個閥系統之各者中之該二埠閥的一流動係數係經校準以平衡在該N個站中之該惰性氣體的該流量。
  15. 如請求項13之基板處理系統,其中該流量控制裝置係包含一流通式帶蓋孔組件,且其中在該流通式帶蓋孔組件中之一孔係設計成用於平衡該N個站中之該惰性氣體的該流量。
  16. 如請求項13之基板處理系統,其進一步包含: N個感應器,其配置係用以分別感應來自該N個閥系統進入該N個站的氣流;以及 其中該控制器係配置用以基於接收自該N個感應器之至少其中一者的資料來校準該N個閥系統之至少其中一者的該流量控制裝置,以平衡該N個站中之該惰性氣體的該流量。
  17. 如請求項13之基板處理系統,其進一步包含: 一計量系統,其配置係用以分析在該N個站之一或多個站中處理的一或多個該基板;以及 其中該控制器係配置用以基於接收自該計量系統的資料來校準該N個閥系統之至少其中一者的該流量控制裝置,以平衡該N個站中之該惰性氣體的該流量。
  18. 如請求項13之基板處理系統,其中該歧管區塊係包含一第二出口,其中該複數閥的其中之一係配置用以在該原子層沉積處理之該淨化步驟期間選擇性地將一或多個氣體從該歧管區塊轉向通過該第二出口。
  19. 如請求項13之基板處理系統,其中該N個閥系統之各者中之該流量控制裝置係配置用以在該給劑及該淨化步驟期間控制以複數流速供應至該N個站之各者的該惰性氣體的該流量。
  20. 如請求項13之基板處理系統,其中該N個閥系統之各者中之該流量控制裝置係配置用以控制在該給劑及該淨化步驟期間以一第一流速以及在該淨化步驟期間以大於該第一流速的一第二流速不間斷地供應至該N個站之各者的該惰性氣體的該流量。
  21. 如請求項13之基板處理系統,其中該複數閥係包含一四埠閥以及一二埠閥,且其中該流量控制裝置包含一二埠閥或一流通式帶蓋孔組件。
TW111147595A 2021-12-13 2022-12-12 用於平衡通至基板處理系統之多個站之氣體流的閥系統 TW202340523A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163288794P 2021-12-13 2021-12-13
US63/288,794 2021-12-13

Publications (1)

Publication Number Publication Date
TW202340523A true TW202340523A (zh) 2023-10-16

Family

ID=86773343

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111147595A TW202340523A (zh) 2021-12-13 2022-12-12 用於平衡通至基板處理系統之多個站之氣體流的閥系統

Country Status (2)

Country Link
TW (1) TW202340523A (zh)
WO (1) WO2023114067A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8925588B2 (en) * 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
KR20220018591A (ko) * 2019-06-07 2022-02-15 램 리써치 코포레이션 멀티 스테이션 반도체 프로세싱에서 독립적으로 조정 가능한 플로우 경로 컨덕턴스

Also Published As

Publication number Publication date
WO2023114067A1 (en) 2023-06-22

Similar Documents

Publication Publication Date Title
US12000047B2 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11127567B2 (en) Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
EP3207558B1 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control and method using said gas supply delivery arrangement
US11015247B2 (en) Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
US10351953B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US11557460B2 (en) Radio frequency (RF) signal source supplying RF plasma generator and remote plasma generator
US20210398829A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
US20230377908A1 (en) Compact modular gas distribution plumbing and heating system for multi-station deposition modules
TW202340523A (zh) 用於平衡通至基板處理系統之多個站之氣體流的閥系統
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
TWI837137B (zh) 具備擁有經冷卻面板之噴淋頭的基板處理腔室
US10358717B2 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US20230005776A1 (en) Purging spindle arms to prevent deposition and wafer sliding
US10764966B2 (en) Laminated heater with different heater trace materials
WO2024076477A1 (en) Showerhead for diffusion bonded, multi-zone gas dispersion
WO2023049008A1 (en) Balancing gas flow to multiple stations using heaters upstream of flow restrictors
WO2023239531A1 (en) Liquid cooling plate for cooling of dielectric window of a substrate processing system
WO2024076479A1 (en) Adjustable pedestal
WO2024076480A1 (en) Annular pumping for chamber
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法