CN112251734B - 衬底基座 - Google Patents

衬底基座 Download PDF

Info

Publication number
CN112251734B
CN112251734B CN202010877974.3A CN202010877974A CN112251734B CN 112251734 B CN112251734 B CN 112251734B CN 202010877974 A CN202010877974 A CN 202010877974A CN 112251734 B CN112251734 B CN 112251734B
Authority
CN
China
Prior art keywords
stem
adapter
sidewall
gas passage
platen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010877974.3A
Other languages
English (en)
Other versions
CN112251734A (zh
Inventor
特洛伊·艾伦·戈姆
蒂莫西·托马斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112251734A publication Critical patent/CN112251734A/zh
Application granted granted Critical
Publication of CN112251734B publication Critical patent/CN112251734B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明涉及衬底基座。一种衬底基座包括:适配器,具有限定圆柱形内部区域的具有上表面和下表面的侧壁,上表面包括环形气体通道、内槽和外槽,内槽在至少一个气体通道的径向内侧,外槽位于内槽和环形气体通道的径向外侧,内槽配置成接收内O形环以形成内真空密封,外槽配置成接收外O形环以形成外真空密封;以及配置成与适配器机械耦合的杆,其具有:限定杆中的圆柱形内部区域的侧壁,侧壁包括下表面,和上表面,适配器的下表面与杆的侧壁的上表面机械耦合,杆的下表面包括至少一个气体入口,其与位于杆的侧壁中的相应的气体通道流体连通,至少一个气体入口与适配器的上表面的环形气体通道流体连通。

Description

衬底基座
本申请是申请号为201610312956.4、申请日为2016年5月12日、发明名称为“高温衬底基座模块及其组件”的申请的分案申请。
技术领域
本发明涉及用于处理半导体衬底的半导体衬底处理装置,并且可以发现在可操作以在半导体衬底的上表面上沉积薄膜的等离子体增强化学气相沉积处理装置中的特定用途。
背景技术
半导体衬底处理装置用于通过包括蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)、等离子体增强脉冲沉积层(PEPDL)处理和抗蚀剂去除的技术处理半导体衬底。半导体衬底处理装置的一种类型是包括含有上电极和下电极的反应室的等离子体处理装置,其中在电极之间施加射频(RF)功率,以将工艺气体激发成用于处理反应室中的半导体衬底的等离子体。
发明内容
本发明公开了一种用于处理半导体衬底的半导体衬底处理装置,所述装置包括在杆的下表面和支承所述杆的适配器的上表面之间具有最小安装区的高温衬底基座模块。所述半导体衬底处理装置包括:真空室,其包括半导体衬底能在其中被处理的处理区域;喷头模块,工艺气体通过该喷头模块从工艺气体源供给到所述真空室的所述处理区域;以及衬底基座模块。所述衬底基座模块包括:台板,其具有上表面,该上表面构造成在处理期间将半导体衬底支承在其上;杆,其由陶瓷材料制成,所述杆具有限定所述杆的圆柱形内部区域的侧壁、下表面以及支撑所述台板的上端;和适配器,其具有限定所述适配器的圆柱形内部区域的侧壁和连接到所述杆的下表面的上表面。
所述杆的下表面包括至少一个气体入口,所述至少一个气体入口与位于所述杆的侧壁中的相应的气体通道流体连通。所述至少一个气体入口与位于所述适配器的上表面的环形气体通道中的至少一个气体出口流体连通,所述适配器的上表面包括位于所述至少一个气体出口径向内侧的内槽和位于所述内槽的径向外侧的外槽。所述内槽具有内O形环,以便在处理期间在所述适配器的所述圆柱形内部区域和所述至少一个气体出口之间形成内真空密封。所述外槽具有在其中的外O形环,以便在处理期间在围绕所述适配器的侧壁的区域和所述至少一个气体出口之间形成外真空密封。所述台板包括与所述杆的侧壁中的相应的气体通道流体连通的至少一个台板气体通道,当在处理期间半导体衬底支承在所述台板的上表面上时,背部气体能通过所述杆的侧壁中的相应的气体通道被供给至所述半导体衬底下方的区域。
本文还公开的是半导体衬底处理装置的高温衬底基座模块。高温衬底基座模块包括所述高温衬底基座模块包括:台板,其具有上表面,该上表面被配置为在处理期间将半导体衬底支承在其上;以及杆,其具有限定其圆柱形内部区域的侧壁、下表面和支撑所述台板的下端。所述杆的下表面被配置为连接到适配器的上表面。所述杆的下表面包括环形气体通道,所述环形气体通道在其中包括至少一个气体入口,其中所述至少一个气体入口与位于所述杆的侧壁中的相应的气体通道流体连通,并且当所述杆连接到适配器时,所述杆的下表面中的所述至少一个气体入口被配置成与所述适配器的上表面中的至少一个气体出口流体连通。所述台板包括与所述杆的侧壁中的相应的气体通道流体连通的至少一个台板气体通道,在处理期间当半导体衬底被支承在所述台板的上表面上时,通过所述杆的侧壁中的相应的气体通道能将背部气体供给至所述半导体衬底下方的区域。
本文还公开了一种半导体衬底处理装置的高温衬底基座模块的适配器。适配器被配置为支撑在所述半导体衬底处理装置的真空室中的所述衬底基座模块的杆。所述适配器包括:侧壁,其限定所述适配器的圆柱形内部区域,和上表面,其被配置成连接到杆的下表面。所述适配器的上表面包括环形气体通道,所述环形气体通道包括与位于所述适配器的侧壁的相应的气体通道流体连通的至少一个气体出口。当所述适配器的上表面连接至所述杆的下表面时,所述至少一个气体出口被配置为与所述杆的下表面中的至少一个气体入口流体连通。所述适配器的上表面包括位于所述至少一个气体出口的径向内侧的内槽和位于所述内槽的径向外侧的外槽。所述内槽被配置成当所述适配器被连接到所述杆时,在其内包括内O形环,使得在处理期间在所述适配器的所述圆柱形内部区域和所述至少一个气体出口之间形成内真空密封。所述外槽被配置成当所述适配器被连接到所述杆时,在其内包括外O形环,使得在处理期间在围绕所述适配器的侧壁的区域和所述至少一个气体出口之间形成外真空密封。
附图说明
图1是根据本发明所公开的实施方式示出的化学沉积装置的概要示图。
图2根据本发明所公开的一个实施方式示出了衬底基座模块的横截面。
图3根据本发明所公开的一个实施方式示出了衬底基座模块的横截面。
图4根据本发明所公开的一个实施方式示出了衬底基座模块的横截面。
图5根据本发明所公开的一个实施方式示出了衬底基座模块的横截面。
图6根据本发明所公开的一个实施方式示出了衬底基座模块的横截面。
图7根据本发明所公开的一个实施方式示出了衬底基座模块的横截面。
具体实施方式
在下面的详细说明中,为了提供本发明所公开的装置和方法的充分理解,阐述了许多具体的实施方式。但对于本领域技术人员而言,显而易见,在没有这些具体细节的情况下或者通过使用替代的元件或方法,仍可以实施本发明的实施方式。在其他的示例中,为了避免不必要地使本发明所公开的实施方式的方面难以理解,公知的工艺、过程和/或部件没有详细描述。如本文所用的术语“约”是指±10%。
如所指出的,所述实施方式提供用于处理在例如化学气相沉积装置或等离子体增强化学气相沉积装置之类的半导体衬底处理装置中的半导体衬底的装置和相关方法。该装置和方法特别适用于与半导体衬底的高温处理结合使用,所述高温处理如高温沉积处理,其中正被处理的半导体衬底被加热到温度高于约550℃,例如约550℃至约650℃或高于650℃。
本发明所公开的实施方式优选在等离子体增强化学沉积装置(即PECVD装置、PEALD装置或PEPDL装置)中实施,然而,它们并不限于此。图1提供了描绘布置用于实施根据本发明所公开的实施方式所述的各种半导体衬底等离子体处理装置的组件的简单框图。如图所示,半导体衬底等离子体处理装置300包括用于容纳处理区域中的等离子体的真空室324,所述等离子体可以通过其中具有上RF电极(未示出)的喷头模块314与其中具有下RF电极(未示出)的衬底基座模块320结合工作来产生。至少一个RF产生器可操作以供给RF能量到真空室324中的半导体衬底316的上表面上方的处理区域,以将供给到真空室324的处理区域中的工艺气体激励成等离子体,使得等离子体沉积处理可在真空室324中进行。例如,高频RF产生器302和低频RF产生器304的每一个可以连接到匹配网络306,匹配网络306连接至喷头模块314的上RF电极,使得RF能量可被供给到真空室324中的半导体衬底316上方的处理区域。
通过匹配网络306供给到真空室324的内部的RF能量的功率和频率足以使等离子体从工艺气体产生。在一个实施方式中,使用高频RF产生器302和低频RF产生器304两者,而在替代的实施方式中,仅使用高频RF产生器302。在处理中,高频RF产生器302可以在约2-100MHz的频率下操作;在优选实施方式中,高频RF产生器302可以在13.56MHz或27MHz的频率下操作。低频RF产生器304可以在约50kHz至2MHz下操作;在优选的实施方式中,可以在约350kHz至600kHz下操作。工艺参数可基于室体积、衬底尺寸和其他因素按比例确定。同样地,工艺气体的流率可取决于真空室或处理区域的自由体积。
衬底基座模块320的上表面支承在处理期间在真空室324内的半导体衬底316。衬底基座模块320可以包括卡盘以容纳半导体衬底,和/或升降销以在沉积和/或等离子体处理工艺之前、期间和/或之后升高和降低半导体衬底。在一个替代的实施方式中,衬底基座模块320可以包括承载环以在沉积和/或等离子体处理工艺之前、期间和/或之后升高和降低半导体衬底。卡盘可以是静电卡盘、机械卡盘,或如可用于工业和/或研究用途的各种其它类型的卡盘。在共同转让的美国专利No.8,840,754中可发现用于包含静电卡盘的衬底基座模块的升降销组件的细节,该专利的全部内容通过引用并入本文。在共同转让的美国专利No.6,860,965中可发现用于衬底基座模块的承载环的细节,该专利的全部内容通过引用并入本文。背部气体供应器341可操作以在处理期间供应热传输气体或净化气体通过衬底基座模块320到半导体衬底的下表面下方的区域。衬底基座模块320包括在其中的下RF电极,其中下RF电极在处理期间优选地接地,然而在替代实施方式中,下RF电极在处理期间可被供给有RF能量。
为了处理半导体衬底等离子体处理装置300的真空室324中的半导体衬底,将工艺气体从工艺气体源362经由入口312和喷头模块314引入真空室324,其中用RF能量使工艺气体形成等离子体,使得膜可以被沉积在半导体衬底的上表面上。在一个实施方式中,工艺气体源362可以包括连接到加热的歧管308的多个气体管线310。气体可以预先混合或单独供给到室。适当的阀和质量流量控制机构用于在半导体衬底处理期间确保正确的气体被输送通过喷头模块314。在处理期间,背部热传输气体或净化气体被供给到衬底基座模块320上支承的半导体衬底的下表面下方的区域中。优选地,所述处理是化学气相沉积处理、等离子体增强化学气相沉积处理、原子层沉积处理、等离子体增强原子层沉积处理、脉冲沉积层处理或等离子体增强脉冲沉积层处理中的至少一种。
在某些实施方式中,采用系统控制器162来控制在沉积期间、沉积处理后、和/或其他处理操作的工艺条件。控制器162典型地将包括一个或多个存储器设备和一个或多个处理器。所述处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
在某些实施方式中,系统控制器162控制装置的所有活动。系统控制器162执行包括用于控制处理操作的定时、低频RF产生器304和高频RF产生器302的工作频率和功率、前体和惰性气体的流率和温度以及它们的相对的混合、支承在衬底基座模块320的上表面上的半导体衬底316和喷头组件314的等离子体暴露表面的温度、真空室324的压力、以及特定工艺的其它参数的指令集的系统控制软件。在一些实施方式中可以采用存储在与控制器相关联的存储器设备的其他计算机程序。
典型地,将存在与控制器162相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
非短暂性计算机的机器可读介质可包括用于控制该装置的程序指令。用于控制处理操作的计算机程序代码可以用任何常规的计算机可读编程语言来编写:例如,汇编语言、C、C++、Pascal、Fortran或其它编程语言。编译的对象编码或脚本由处理器执行以执行在程序中识别的任务。
所述控制器参数涉及诸如,例如,处理步骤的定时,前体和惰性气体的流率和温度,半导体衬底的温度,室的压力和特定工艺的其它参数之类的工艺条件。这些参数以配方的形式提供给用户,并且可以利用用户界面输入。
用于监控工艺的信号可以由系统控制器的模拟和/或数字输入连接来提供。用于控制工艺的信号通过装置的模拟和数字输出连接被输出。
系统软件可以用许多不同的方式设计或配置。例如,多个室部件子程序或控制对象可以被写入以控制要进行沉积处理所必须的室组件的操作。用于此目的程序或程序的部分的实例包括衬底的处理步骤的定时编码、前体和惰性气体的流率和温度编码、以及真空室324的压强编码。
图2-7根据本发明所公开的实施方式示出了衬底基座模块320的横截面。如图2-7所示,衬底基座模块320包括具有由陶瓷材料制成的暴露表面的台板205。台板205具有上表面206,上表面206可操作以支承在半导体衬底的处理期间在其上的半导体衬底。由陶瓷材料制成的杆210从台板205的下表面向下延伸,其中杆210的上端214支承台板205。优选地,杆210的上端214包括被接合(钎焊、焊接、扩散接合或其它合适的技术)至台板205的下陶瓷表面的上凸缘。通过用陶瓷材料而不是用例如铝或铝合金等金属材料制造衬底基座模块320的杆210和台板205,衬底基座模块320可以承受在高温衬底处理过程中的高温,如高于约550℃的温度或高于约650℃的温度。
台板205可包括嵌入其中的至少一个静电夹持电极209,其中该至少一个静电夹持电极209可操作以在处理期间静电夹持半导体衬底在台板205的上表面上。如图2和图4-7所述,台板205还可以包括下RF电极265,下RF电极265在半导体衬底的处理期间可以接地或被供给有RF功率。优选地,如图3所示,台板205包括嵌入其中的既用作静电夹持电极又用作RF电极的仅仅单个电极209a。返回参照图2-7,台板205还可以包括嵌入其中的至少一个加热器260,所述至少一个加热器260可操作以在处理期间控制整个台板205的上表面206的温度并且由此控制整个半导体衬底的温度。该至少一个加热器260可包括电阻性加热器膜和/或一个或多个热电模块。优选地,连接到至少一个静电夹持电极209、至少一个加热器260、单个电极209a和/或下RF电极265的电气连接件被布置在杆210的由杆210的壁211限定的圆筒形的内部区域215中。电气连接件可分别连接到与相应的至少一个静电夹持电极209、至少一个加热器260、单个电极209a和/或下RF电极265电连通的在台板205中形成的电触点(未示出)。以这种方式,至少一个静电夹持电极209、至少一个加热器260、单个电极209a和/或下RF电极265可在半导体衬底的处理期间被供电。
在一个实施方式中,台板205可包括扩散接合在一起的分层,其中,至少一个静电夹持电极209、下RF电极265(或单个电极209a),以及至少一个加热器260可以夹在台板205的分层之间。台板205的上表面206优选地包括在其中形成的台板图案206a,其中半导体衬底的下表面被支撑在台面图案206a上,背部吹扫气体或背部热传输气体可被提供给在台面图案206a的台面之间的半导体衬底下方的区域。可以在共同转让的美国专利No.7,869,184中发现台面图案和形成台面图形的方法的示范性实施方式,该专利的全部内容通过引用并入本文。在一个实施方式中,衬底基座模块320可以包括可操作以减少台板205的上部和杆210之间的热传输的热屏蔽件(未示出)。在共同转让的美国专利No.8,753,447中可发现包括热屏蔽件的衬底基座模块的示例性实施方式,该专利的全部内容通过引用并入本文。
杆210和台板205的暴露表面优选由陶瓷材料制成,从而优选地当台板205和杆210被暴露于处理条件时在处理期间不导致衬底的污染。优选地,杆210和台板205的暴露表面由氮化铝制成。
杆210包括下表面213,下表面213连接到适配器220的上表面223,使得衬底基座模块320可以被支撑在半导体衬底处理装置的真空室中。适配器220具有限定其圆筒形的内部区域225的侧壁221。杆210的下表面213包括与位于杆210的侧壁211中的相应的气体通道217流体连通的至少一个气体入口216。杆210的至少一个气体入口216与适配器220的上表面223中的至少一个气体出口224流体连通,其中至少一个气体出口224与适配器220的侧壁221中的相应的气体通道232流体连通。台板205包括与杆210的侧壁211中的相应的气体通道217流体连通的至少一个台板气体通道280。在半导体衬底的处理期间,当半导体衬底支撑在台板205的上表面206上时,背部气体可以从与适配器220的侧壁221中的至少一个气体通道232流体连通的背部气体供给源经由杆210的至少一个气体通道217供给到半导体衬底下方的区域。
现在参考图2、5和6,在适配器220的上表面223中的至少一个气体出口224优选地位于适配器220的上表面223中的环形气体通道242中。如本文所用的术语“环形气体通道”可以指形成完整的环形路径的气体通道;沿着环形路径部分地延伸的气体通道;或者两个或更多个气体通道,其中每个气体通道沿着具有共同的中心点的相应环形路径延伸,其中每个气体通道是彼此流体分隔的。适配器220的上表面223还包括位于至少一个气体出口224的径向内侧的内槽226和位于内槽226的径向外侧的外槽227。内槽226在其内具有内O形环230,以便在半导体衬底的处理期间在适配器220的圆柱形内部区域225和至少一个气体出口224之间形成内真空密封。外槽227在其内具有外O形环231,以便在半导体衬底的处理期间在围绕适配器220的侧壁221的区域和至少一个气体出口224之间形成外真空密封。
现在参考图5和6,适配器220的上表面中的环形气体通道242优选地在适配器220的外槽227的径向内部中形成,其中所述外O形环231位于外槽227的径向内部中。
在一个替代实施方式中,如图3、4和7所示,代替在适配器220的上表面223中的环形气体通道242(参照图2),杆210的下表面213包括环形气体通道252,或者除了在适配器220的上表面223中的环形气体通道242(参照图2)以外,还有杆210的下表面213包括环形气体通道252。适配器220的至少一个气体出口224与在杆210的下表面213中的环形气体通道252流体连通。杆210的下表面213中的至少一个气体入口216位于在杆210的下表面213中形成的环形气体通道252。在其中杆210的下表面213包括环形气体通道252并且适配器220的上表面223包括环形气体通道242中的实施方式中,环形气体通道242、252被布置为彼此相邻,使得它们是流体连通的。
参照图3,适配器220的上表面223优选地包括位于至少一个气体出口224的径向内侧的内槽226和位于内槽226的径向外侧的外槽227。内槽226在其内具有内O形环230,以便在半导体衬底的处理期间在适配器220的圆柱形内部区域225和至少一个气体出口224之间形成内真空密封。外槽227在其内具有外O形环231,以便在半导体衬底的处理期间在围绕适配器220的侧壁221的区域和至少一个气体出口224之间形成外真空密封。
现在参考图4,替代在适配器220的上表面223中的内槽226和外槽227(参见图2),杆210的下表面213可以包括内槽250和外槽251,或除了在适配器220的上表面223中的内槽226和外槽227(参见图2)以外,还有杆210的下表面213可以包括内槽250和外槽251。内槽250在其内具有内O形环230,以便在半导体衬底的处理期间在适配器220的圆柱形内部区域225和至少一个气体出口224之间形成内真空密封。外槽251在其内具有外O形环231,以便在半导体衬底的处理期间在围绕适配器220的侧壁221的区域和至少一个气体出口224之间形成外真空密封。在其中杆210的下表面213包括内槽250和外槽251并且适配器220的上表面223包括内槽226和外槽227的实施方式中,内槽250、226优选地设置成彼此相邻,使得内槽250、226中的每一个包括内O形环230的一部分,而外槽251、227优选地被布置为彼此相邻,使得外槽251、227中的每一个包括外O形环231的一部分。
现在参考图7,在杆210的下表面213中的环形气体通道252优选地在杆210的外槽251的径向内部中形成,其中外O形环231优选地位于外槽251的径向外部。
现在参考图2-7,杆210优选地包括从杆210的侧壁211向外延伸的下部外凸缘234,使得杆210的在下部外凸缘234上方的侧壁211的厚度可被最小化,以在处理期间在台板205和杆210的下表面213之间形成热壅塞。下部外凸缘234可包括通孔(未示出),使得衬底基座模块320的杆210可以用紧固件(如螺栓、螺钉或类似物)连接到适配器220的上表面223。在处理期间,杆210的圆柱形内部215和适配器220的圆柱形内部225流体连通,并通过内O形环230和外O形环231从真空环境密封开来,使得在圆柱形内部区域215、225中可以保持正压强。优选地,圆柱形内部区域215、225被暴露于大气中,但是在替代的实施方式中,可在圆柱形内部区域215、225中泵送惰性气体或净化气体。
杆210由陶瓷制成,并优选具有低的热导率,以减少从台板205传输到在杆210的下表面213和适配器220的上表面223之间的界面的热量,其中内O形环230和外O形环231定位在该界面中。合乎期望的是保持该界面在较低温度(例如,约200℃至300℃)。例如,如果内O形环230和外O形环231在处理期间经受过高的温度,则它们将失灵,并且不再在杆210的圆柱形内部区域215和围绕杆210的侧壁211的(真空)区域之间形成密封。除了使得杆210的侧壁211的厚度能减小的下部外凸缘234以外,杆210优选地包括从杆210的侧壁211向内延伸的下部内凸缘233,使得杆210的在下部内凸缘233上方的侧壁211的厚度可被最小化,以在半导体衬底的处理期间在台板205和杆210的下表面213之间形成热壅塞(参见图2-4,图6和图7)。
杆210的侧壁211的厚度优选地小于适配器220的侧壁221的厚度,使得在半导体衬底的处理期间杆210的侧壁211在台板205和杆210的下表面213之间形成热壅塞。在一个实施方式中,杆210的在杆210的下部凸缘上方的侧壁211的厚度为约3mm或小于3mm,并且更优选为约2mm或小于2mm。在一个优选的实施方式中,杆210的侧壁211的厚度被选择为刚好大于杆210承受圆柱形内部区域215和围绕侧壁211的区域之间的压力差所需要的最小厚度,所述圆柱形内部区域215优选维持在大气压强下,围绕侧壁211的区域在半导体衬底的处理期间在减压或真空压强下操作。
适配器220优选由例如铝或铝合金之类的金属形成,所述金属是比用于形成杆210和台板205的高纯度陶瓷更便宜的材料,并且也不太可能在处理期间由于施加于其上的高压差而破裂。因此,通过由杆210的杆壁211形成热壅塞,用于处理支撑在台板205的上表面206上的半导体衬底的高温(例如550℃-650℃或650℃以上)可以与杆210的下表面213热隔离,使得杆210可以连接到铝或铝合金适配器220的上表面223上,其中内O形环230和外O形环231将不会由于高温而造成失灵。此外,使杆210的侧壁211形成热壅塞将使台板205和其下表面213之间的杆210的长度能减小并使适配器220的长度能增大,从而节省材料成本。
如图6所示,适配器220可包括在其侧壁221中的一个气体通道232,气体通道232经由适配器220的上表面223中的环形气体通道242与在杆210的侧壁211中的至少两个气体通道217流体连通,其中在杆210的侧壁211中的每个气体通道217与相应的台板的气体通道280是流体连通的,使得在处理期间背部气体可通过背部气体供给源供给至被支撑在台板的上表面上的半导体衬底下方的区域。
在进一步的实施方式中,适配器200的至少一个气体出口224可以与杆210的相应的至少一个气体入口216中的一个或多个对准或不对准。例如,适配器220可包括在其侧壁221中的至少一个气体通道232,至少一个气体通道232经由适配器220的上表面223中的环状气体通道242与杆210的侧壁211中的至少一个气体通道217流体连通,其中在适配器220的侧壁221中的至少一个气体通道232的至少一个相应的气体出口224与杆210的侧壁211中的至少一个气体通道217的至少一个相应的气体入口216对准。可替代地,适配器220可包括在侧壁221中的至少一个气体通道232,至少一个气体通道232经由适配器220的上表面223中的环形气体通道242与杆210的侧壁211中的至少一个气体通道217流体连通,其中在适配器220的侧壁221中的至少一个气体通道232的至少一个相应的气体出口224与杆210的侧壁211中的至少一个气体通道217的至少一个相应的气体入口216不对准。
虽然包括等温处理区域的等离子体处理装置参照其具体实施方式进行了详细描述,但对本领域技术人员而言,显而易见,在不脱离所附权利要求的范围的情况下可以做出各种变化和修改,并可以采用等同方案。

Claims (22)

1.一种衬底基座,其包括:
适配器,所述适配器具有限定所述适配器中的圆柱形内部区域的侧壁,所述侧壁具有上表面和下表面,所述适配器的所述上表面包括环形气体通道、内槽和外槽,所述内槽在形成在所述适配器的所述侧壁中的至少一个气体通道的径向内侧,所述外槽位于所述内槽和所述环形气体通道的径向外侧,所述内槽配置成接收内O形环在其内,以在所述圆柱形内部区域和所述至少一个气体通道之间形成内真空密封,所述外槽配置成接收外O形环在其内,以在围绕所述适配器的所述侧壁的区域和所述至少一个气体通道之间形成外真空密封;以及
杆,其配置成与所述适配器机械耦合,所述杆具有:限定所述杆中的圆柱形内部区域的侧壁,所述侧壁包括下表面,和上表面,所述适配器的所述下表面与所述杆的所述侧壁的所述上表面机械耦合,所述杆的所述下表面包括至少一个气体入口,所述至少一个气体入口与所述杆的所述侧壁中的相应的气体通道流体连通,所述至少一个气体入口与所述适配器的所述上表面的所述环形气体通道流体连通。
2.根据权利要求1所述的衬底基座,其中,所述杆在所述杆的下部和所述杆的上部之间具有减小的横截面积,所述减小的横截面积提供热壅塞以限制从所述杆到所述适配器的热传输。
3.根据权利要求1所述的衬底基座,还包括台板,所述台板耦合到所述杆的上部,所述台板具有构造成支承衬底的上表面和与所述杆的所述侧壁中的相应的气体通道流体连通的至少一个台板气体通道。
4.根据权利要求3所述的衬底基座,其中所述至少一个台板气体通道构造成在衬底处理操作期间将背部气体供给到放置在所述台板上的衬底。
5.根据权利要求1所述的衬底基座,其中位于所述内槽的径向外侧的所述外槽形成所述环形气体通道的一部分,并且所述外O形环被配置成被放置在所述外槽和所述环形气体通道组合的径向外侧的部分上。
6.一种衬底基座,其包括:
适配器,所述适配器具有上表面和限定所述适配器的圆柱形内部区域的侧壁,所述适配器的所述侧壁包括至少一个气体通道,所述至少一个气体通道从所述适配器的所述侧壁的下表面穿过所述侧壁到所述适配器的所述侧壁的所述上表面,所述适配器的所述上表面包括内槽和外槽,所述内槽在所述至少一个气体通道的径向内侧,所述外槽位于所述至少一个气体通道的径向外侧,以及
杆,其具有限定所述杆的圆柱形内部区域的侧壁,所述杆的所述侧壁包括上表面和下表面,所述上表面被配置为支承台板,所述杆的所述侧壁的所述下表面配置成连接至所述适配器的所述侧壁的所述上表面,所述杆的所述侧壁的所述下表面包括形成在其上的环形气体通道,所述杆的所述侧壁包括至少一个气体通道,所述至少一个气体通道从所述杆的所述侧壁的所述下表面穿过所述侧壁到所述杆的所述侧壁的所述上表面。
7.根据权利要求6所述的衬底基座,其中,所述杆中的所述至少一个气体通道与所述环形气体通道流体连通。
8.根据权利要求6所述的衬底基座,其中,所述适配器中的所述至少一个气体通道与所述环形气体通道流体连通。
9.根据权利要求6所述的衬底基座,其中,所述杆中的所述至少一个气体通道和所述适配器中的所述至少一个气体通道至少通过所述环形气体通道彼此流体连通。
10.根据权利要求6所述的衬底基座,其中,所述适配器的所述侧壁中的所述至少一个气体通道包括在其下部上的至少一个气体入口,并且所述杆的所述侧壁中的所述至少一个气体通道包括在所述杆的所述下表面上的至少一个气体入口。
11.根据权利要求10所述的衬底基座,其中,所述适配器中的所述至少一个气体通道与位于所述杆的所述侧壁中的所述至少一个气体通道流体连通,并且当所述杆连接到所述适配器时,所述杆的所述下表面中的所述至少一个气体入口被配置为与所述适配器的上表面中的至少一个气体出口流体连通。
12.根据权利要求6所述的衬底基座,其中,所述内槽配置成接收内O形环在其内,以在所述适配器的所述圆柱形内部区域和所述适配器的所述至少一个气体通道之间形成内真空密封,并且所述外槽配置成接收外O形环在其内,以在围绕所述适配器的所述侧壁的区域和所述适配器的所述至少一个气体通道之间形成外真空密封。
13.根据权利要求12所述的衬底基座,其中,位于所述内槽的径向外侧的所述外槽形成所述环形气体通道的一部分,并且所述外O形环被配置成被放置在外槽和环形气体通道组合的径向外侧的部分上。
14.根据权利要求6所述的衬底基座,还包括台板,所述台板耦合到所述杆的上部,所述台板具有构造成支承衬底的上表面和与所述杆的所述侧壁中的相应的气体通道流体连通的至少一个台板气体通道。
15.根据权利要求14所述的衬底基座,其中所述至少一个台板气体通道构造成在衬底处理操作期间将背部气体供给到在所述台板上的衬底。
16.根据权利要求6所述的衬底基座,所述杆在所述杆的下部和所述杆的上部之间具有减小的横截面积,所述减小的横截面积提供热壅塞以限制从所述杆到所述适配器的热传输。
17.一种衬底基座,其包括:
适配器,所述适配器具有限定所述适配器中的圆柱形内部区域的侧壁,所述侧壁具有上表面和下表面,所述下表面位于与所述上表面相对的一端,所述适配器的所述侧壁包括至少一个气体通道,所述至少一个气体通道从所述适配器的所述下表面穿过所述侧壁到所述适配器的所述上表面,以及
杆,其具有限定所述杆内的圆柱形内部区域的侧壁,所述侧壁具有下表面和上表面,所述上表面位于与所述下表面相对的一端,所述下表面配置成将所述杆耦合到所述适配器的所述侧壁的所述上表面,所述侧壁的所述上表面配置成支承台板,所述杆的所述下表面包括形成在其上的环形气体通道,所述杆的所述侧壁包括至少一个气体通道,所述至少一个气体通道从所述杆的所述下表面穿过所述侧壁到所述杆的所述上表面,所述杆的所述下表面还包括内槽和外槽,所述内槽在所述杆的所述至少一个气体通道的径向内侧,所述外槽位于所述杆的所述至少一个气体通道的径向外侧。
18.根据权利要求17所述的衬底基座,其中,所述内槽配置成接收内O形环在其内,以在所述适配器的所述圆柱形内部区域和所述适配器的所述至少一个气体通道之间形成内真空密封,并且所述外槽配置成接收外O形环在其内,以在围绕所述适配器的所述侧壁的区域和所述适配器的所述至少一个气体通道之间形成外真空密封。
19.根据权利要求18所述的衬底基座,其中,位于所述内槽的径向外侧的所述外槽形成所述环形气体通道的一部分,并且所述外O形环被配置成被放置在外槽和环形气体通道组合的径向外侧的部分上。
20.根据权利要求17所述的衬底基座,还包括台板,所述台板耦合到所述杆的上部,所述台板具有构造成支承衬底的上表面和与所述杆的所述侧壁中的相应的气体通道流体连通的至少一个台板气体通道。
21.根据权利要求20所述的衬底基座,其中所述至少一个台板气体通道构造成在衬底处理操作期间将背部气体供给到在所述台板上的衬底。
22.根据权利要求17所述的衬底基座,其中所述杆在所述杆的下部和所述杆的上部之间具有减小的横截面积,所述减小的横截面积提供热壅塞以限制从所述杆到所述适配器的热传输。
CN202010877974.3A 2015-05-12 2016-05-12 衬底基座 Active CN112251734B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/710,151 US10177024B2 (en) 2015-05-12 2015-05-12 High temperature substrate pedestal module and components thereof
US14/710,151 2015-05-12
CN201610312956.4A CN106148916B (zh) 2015-05-12 2016-05-12 高温衬底基座模块及其组件

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201610312956.4A Division CN106148916B (zh) 2015-05-12 2016-05-12 高温衬底基座模块及其组件

Publications (2)

Publication Number Publication Date
CN112251734A CN112251734A (zh) 2021-01-22
CN112251734B true CN112251734B (zh) 2023-03-28

Family

ID=57277769

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610312956.4A Active CN106148916B (zh) 2015-05-12 2016-05-12 高温衬底基座模块及其组件
CN202010877974.3A Active CN112251734B (zh) 2015-05-12 2016-05-12 衬底基座

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201610312956.4A Active CN106148916B (zh) 2015-05-12 2016-05-12 高温衬底基座模块及其组件

Country Status (5)

Country Link
US (1) US10177024B2 (zh)
JP (2) JP6904665B2 (zh)
KR (2) KR102653444B1 (zh)
CN (2) CN106148916B (zh)
TW (1) TWI708315B (zh)

Families Citing this family (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11469084B2 (en) * 2017-09-05 2022-10-11 Lam Research Corporation High temperature RF connection with integral thermal choke
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102373076B1 (ko) * 2017-11-02 2022-03-11 엔지케이 인슐레이터 엘티디 반도체 제조 장치용 부재, 그 제조법 및 성형형
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11018048B2 (en) * 2017-11-21 2021-05-25 Watlow Electric Manufacturing Company Ceramic pedestal having atomic protective layer
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN108315720A (zh) * 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018215284B4 (de) * 2018-09-07 2022-11-10 centrotherm international AG Rohrverschluss für ein Prozessrohr und Prozesseinheit
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) * 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
EP4013905B1 (en) 2019-08-12 2023-02-22 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11699602B2 (en) * 2020-07-07 2023-07-11 Applied Materials, Inc. Substrate support assemblies and components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11495483B2 (en) * 2020-10-15 2022-11-08 Applied Materials, Inc. Backside gas leakby for bevel deposition reduction
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112899659B (zh) * 2021-01-19 2022-06-14 中国科学院半导体研究所 用于等离子体化学气相的样品支架
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1608305A (zh) * 2001-08-03 2005-04-20 应用材料公司 用于等离子室的悬挂式分气歧管
CN102077338A (zh) * 2008-06-24 2011-05-25 应用材料股份有限公司 用于低温pecvd应用的基座加热器
CN103510072A (zh) * 2012-06-25 2014-01-15 诺发系统公司 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积
CN103993293A (zh) * 2013-02-15 2014-08-20 诺发系统公司 带温度控制的多室喷头
CN104250728A (zh) * 2013-06-28 2014-12-31 朗姆研究公司 具有气封的化学沉积腔室
CN104282530A (zh) * 2013-07-03 2015-01-14 朗姆研究公司 包括等温处理区的等离子体处理设备

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07153706A (ja) 1993-05-27 1995-06-16 Applied Materials Inc サセプタ装置
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US6544379B2 (en) * 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
WO1998039609A1 (en) * 1997-03-07 1998-09-11 Semitool, Inc. Semiconductor processing furnace
US6223447B1 (en) 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP2002001100A (ja) * 2000-06-22 2002-01-08 Mitsubishi Heavy Ind Ltd プラズマ処理装置
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6997993B2 (en) 2001-02-09 2006-02-14 Ngk Insulators, Ltd. Susceptor supporting construction
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
JP3520074B2 (ja) 2002-03-28 2004-04-19 日本碍子株式会社 セラミックサセプターの取付構造、セラミックサセプターの支持構造およびセラミックサセプターの支持部材
US6962348B2 (en) 2002-07-29 2005-11-08 Tokyo Electron Limited Sealing apparatus having a single groove
JP4060684B2 (ja) * 2002-10-29 2008-03-12 日本発条株式会社 ステージ
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP4365766B2 (ja) * 2004-10-26 2009-11-18 京セラ株式会社 ウェハ支持部材とそれを用いた半導体製造装置
JP2007051317A (ja) 2005-08-16 2007-03-01 Ngk Insulators Ltd 加熱装置
US7869184B2 (en) 2005-11-30 2011-01-11 Lam Research Corporation Method of determining a target mesa configuration of an electrostatic chuck
US20070169703A1 (en) 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
JP4768699B2 (ja) * 2006-11-30 2011-09-07 キヤノンアネルバ株式会社 電力導入装置及び成膜方法
US8294069B2 (en) 2007-03-28 2012-10-23 Ngk Insulators, Ltd. Heating device for heating a wafer
US8540819B2 (en) * 2008-03-21 2013-09-24 Ngk Insulators, Ltd. Ceramic heater
US8753447B2 (en) 2009-06-10 2014-06-17 Novellus Systems, Inc. Heat shield for heater in semiconductor processing apparatus
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
JP5570359B2 (ja) * 2010-09-10 2014-08-13 キヤノンアネルバ株式会社 ロータリージョイント、及びスパッタリング装置
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
JP2012182221A (ja) 2011-02-28 2012-09-20 Taiheiyo Cement Corp 基板支持部材
US8801950B2 (en) 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
KR101413898B1 (ko) 2012-11-06 2014-06-30 엔지케이 인슐레이터 엘티디 서셉터
US10125422B2 (en) 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9738975B2 (en) * 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1608305A (zh) * 2001-08-03 2005-04-20 应用材料公司 用于等离子室的悬挂式分气歧管
CN102077338A (zh) * 2008-06-24 2011-05-25 应用材料股份有限公司 用于低温pecvd应用的基座加热器
CN103510072A (zh) * 2012-06-25 2014-01-15 诺发系统公司 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积
CN103993293A (zh) * 2013-02-15 2014-08-20 诺发系统公司 带温度控制的多室喷头
CN104250728A (zh) * 2013-06-28 2014-12-31 朗姆研究公司 具有气封的化学沉积腔室
CN104282530A (zh) * 2013-07-03 2015-01-14 朗姆研究公司 包括等温处理区的等离子体处理设备

Also Published As

Publication number Publication date
CN112251734A (zh) 2021-01-22
KR20160133374A (ko) 2016-11-22
KR102653444B1 (ko) 2024-03-29
US20160336213A1 (en) 2016-11-17
CN106148916A (zh) 2016-11-23
CN106148916B (zh) 2020-09-25
JP2021158379A (ja) 2021-10-07
TWI708315B (zh) 2020-10-21
TW201709404A (zh) 2017-03-01
JP2016213463A (ja) 2016-12-15
JP6904665B2 (ja) 2021-07-21
KR20240045193A (ko) 2024-04-05
JP7320563B2 (ja) 2023-08-03
US10177024B2 (en) 2019-01-08

Similar Documents

Publication Publication Date Title
CN112251734B (zh) 衬底基座
CN112063995B (zh) 一种衬底基座及其制造方法和一种处理衬底的方法
KR102391608B1 (ko) 등온 처리 존을 포함하는 증착 장치
CN107578976B (zh) 具有可拆卸式气体分配板的喷淋头
KR102458699B1 (ko) 개선된 프로세스 균일도를 갖는 기판 지지부
US20150004798A1 (en) Chemical deposition chamber having gas seal
KR20240004198A (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US20170016115A1 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers
WO2019203975A1 (en) Heated ceramic faceplate
CN111293027B (zh) 等离子体处理装置以及等离子体处理方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant