CN1608305A - 用于等离子室的悬挂式分气歧管 - Google Patents

用于等离子室的悬挂式分气歧管 Download PDF

Info

Publication number
CN1608305A
CN1608305A CNA028177029A CN02817702A CN1608305A CN 1608305 A CN1608305 A CN 1608305A CN A028177029 A CNA028177029 A CN A028177029A CN 02817702 A CN02817702 A CN 02817702A CN 1608305 A CN1608305 A CN 1608305A
Authority
CN
China
Prior art keywords
inlet manifold
dividing plate
air dividing
sidewall
side wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028177029A
Other languages
English (en)
Other versions
CN1327475C (zh
Inventor
厄恩斯特·凯勒
权原·尚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1608305A publication Critical patent/CN1608305A/zh
Application granted granted Critical
Publication of CN1327475C publication Critical patent/CN1327475C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49947Assembling or joining by applying separate fastener
    • Y10T29/49948Multipart cooperating fastener [e.g., bolt and nut]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

一种用于等离子室的进气歧管,具有带孔的分气板,该分气板由包括一个或多个片的侧壁悬挂。所述片优选地提供弹性,以减小分气板中由于热膨胀与收缩而产生的应力。另一方面,侧壁提供了分气板与室的其他部件之间的隔热。

Description

用于等离子室的悬挂式分气歧管
技术领域
本发明一般地涉及用于向等离子室供气的分气歧管。更具体而言,本发明涉及具有由薄侧壁悬挂的带孔分气板的这种歧管。
背景技术
例如平面显示器和集成电路之类的电子设备通常通过一系列处理步骤来制造,在这些处理步骤中将层沉积到衬底上并将所沉积的材料刻蚀成所需的图案。这些处理步骤通常包括等离子体增强化学气相沉积(CVD)处理和等离子刻蚀处理。
等离子处理要求向称为等离子室的真空室中供应处理气体混合物,然后施加电的或电磁功率以将处理气体激发至等离子状态。等离子体将气体混合物分解成执行期望的沉积或刻蚀处理的离子种类。
在被电容性激发的CVD室中,通过在阳极电极和阴极电极之间施加的RF功率来激发等离子体。通常将衬底安装在用作阴极电极的底座或基座上,而在离衬底短距离处平行地安装阳极电极。通常阳极电极还用作向室内供应处理气体混合物的分气板。阳极电极打有数百或数千的孔,处理气体混合物通过这些孔流入阳极和阴极之间的间隙。这些孔在分气板的整个表面上间隔分布,以使邻近衬底的处理气体混合物的空间均匀性最佳化。这样的分气板,也被称为扩散器或“淋浴头”,在于89年8月8日授权给张等人的共同转让的美国专利4,854,263中进行了描述。
带孔的分气板一般被刚性地安装到等离子室的盖子或者上壁。刚性安装的缺点是当带孔板从等离子体获得热量时,其不能容纳带孔板的热膨胀。板上由此引起的机械应力可能使该板变形或者开裂。对于需要处理例如大的平面显示器之类的更大加工件的更大的分气板来说,减小机械应力是最重要的。因此,存在对于最小化这种热引发的机械应力的分气设备的需要。
传统扩散器或分气板的另一个缺点是它们通常在不期望的低温和空间不均匀性条件下运作。具体而言,虽然扩散器从室中的等离子体接收热量,但传统扩散器通常在其栓接到室壁或盖子的周边处损失热量。因此,扩散器的周边比中心要冷得多,这易于引起位于扩散器附近的衬底表面温度的相应的不期望的空间非均匀性。此外,从扩散器到室壁的热量损失不期望地降低了扩散器的温度,这可能不期望地地降低衬底温度。
发明内容
本发明是用于处理衬底的等离子室的进气歧管。此歧管具有由侧壁悬挂的带孔分气板或扩散器。
在本发明的一个方面中,进气歧管的侧壁包括一个或多个片。由片来悬挂扩散器的一个好处是:片是弹性的以容纳分气板的热膨胀或收缩,由此避免了扩散器的变形或开裂。另一个好处是:片可以在扩散器和更冷的室部件之间置入很大的热阻,以提高扩散器温度的空间均匀性并降低从衬底到扩散器的热损失。
在一个优选实施例中,每个片在其下末端具有长而窄的凸缘。每个凸缘沿着其长度具有多个孔,这些孔与安装在分气板边缘的销相匹配。这些孔在与凸缘长度相平行的方向上是细长的,以允许弹性侧壁和分气板之间的差动。
在另一个优选实施例中,弹性侧壁具有以小间隙分开的多个段,并且歧管包括新型密封凸缘,其在允许弹性侧壁段移动的同时可以最小化通过所述间隙的气体泄漏。
在本发明的第二方面中,进气歧管侧壁在分气板和室壁之间置入很大的热阻,由此提高了分气板温度的空间均匀性,并允许分气板响应于来自等离子体的热量而达到更高的温度。本发明的这个方面有助于提高衬底或加工件的表面温度的空间均匀性,而且其使得加工件能够达到相对于衬底支持底座或基座的温度而言更高的表面温度。在本发明的这个方面中,侧壁不需要包括片。
附图说明
图1是包括本发明的进气歧管的等离子室的侧向部分截面示意图。
图2是进气歧管的转角的部分分解透视图。
图3是进气歧管的转角支持部件的横截面图。
图4是侧壁刚性连接到扩散器的进气歧管的一个实施例的一侧的竖直方向的截面图。
图5是侧壁可以在扩散器的槽中滑动的更优选的进气歧管的一侧的竖直方向的截面图。
图6是具有细长孔以容纳扩散器的热膨胀的进气歧管侧壁的下凸缘的平面图。
图7是扩散器没有圆周槽的可替换进气歧管的一侧的竖直方向的截面图。
图8是进气歧管转角的竖直方向的截面图。
图9是图2中所示转角的分解图。
图10是可替换转角连接或耦合件在折叠前的平面图。
图11是具有图10的可替换耦合件的转角的分解图。
图12是具有进气歧管的可替换实施例的与图4相似的视图,所述进气歧管中弹性侧壁的顶凸缘部分暴露到大气压中。
图13是图12的细节。
图14是图12的可替换实施例的与图2类似的视图。
图15是示出直接连接到进气歧管侧壁的顶凸缘的电缆的、与图13类似的视图。
图16是可替换进气歧管转角的部分分解透视图,其中,弹性侧壁与转角邻接并省略了转角耦合件。
图17是具有增大的孔以容纳扩散器的热膨胀的进气歧管侧壁的下凸缘的平面图。
具体实施方式
等离子室概述
图1示出了等离子室,其包括根据本发明的进气歧管20-32,也称为分气歧管或进气管(plenum)。图示的室适合于在大的衬底上进行等离子体辅助的处理,例如化学气相沉积(CVD)或刻蚀。其尤其适合于执行用于在玻璃衬底上制造平面显示器的电子电路的CVD处理。
等离子室或真空室具有壳体或壁10,优选地由铝构成,其环绕室的内部。室壁10为室内部的侧面和大部分底面提供了真空封闭。金属底座或基座12用作阴极电极,并具有支承加工件或衬底14的平坦上表面。或者,衬底不必直接接触基座,而可以由例如多个举销(未示出)固定在稍微离开基座上表面的上方。
外部气体源(未示出)向处理室传递一种或多种处理气体。具体地,该室包括封闭被称为歧管内部的区域的进气歧管或进气管20-32(以下将详细描述)。从外部气体源延伸到进气歧管的顶壁或后壁28中的进气嘴或进气孔30的气体管线或导管向歧管内部供应处理气体。气体然后通过分气板或扩散器20中的数百或数千个孔22流出歧管,以进入分气板与基座12之间的室内部的区域。
传统的真空泵(未示出)维持所需的室内真空水平,并将处理气体和反应产物通过环状排气口42从室中排出,然后进入环状排气室44,然后通过排气通道(未示出)到达泵。
分气板或扩散器20由导电材料构成,优选地为铝,使其可以用作阳极电极。RF电源(未示出)连接在分气板和电接地的室部件之间。RF电源的典型频率是13MHz。因为是RF热,扩散器或分气板20通过环形电介质间隔件34、35、36与盖子电绝缘。室的侧壁和底壁10与盖子18被电接地。基座或加工件支承底座12一般也接地,但其可选地可以连接到通常称为偏置电源的第二RF电源。
施加在阴极电极(基座12)与阳极电极(分气板20)之间的RF功率在两个电极之间的区域中产生电磁场,将该区域中的气体激发到等离子状态。等离子体从处理气体混合物产生反应物,其与加工件上露出的材料发生反应,以执行所期望的沉积或刻蚀处理。
为了在加工件14与分气板20之间的室区域中集中等离子体,在室中分气板附近的其他金属表面优选地都用电介质衬垫覆盖起来。具体地,电介质衬垫37被栓接到室盖18的下侧,并且电介质衬垫38覆盖室侧壁10。在进气歧管与盖子之间的环形间隙中,为了防止形成等离子体,并且最小化RF功率传导,用电介质衬垫41填充该间隙。
可拆式盖子18放置在室侧壁10的顶上,使得盖子用作室壁的额外部分。进气歧管20-32放置在盖子的环形、向内伸出的支架上。封盖件16固定在盖子18的顶部。如下所述,罩子的唯一目的是避免人们无意间接触到RF热的进气歧管部分。
室部件应当由不会污染将在室中执行的半导体处理且可以抵抗处理气体腐蚀的材料构成。铝是除了电介质间隔件和衬垫34-41和O形环45-48之外的所有部件的优选材料。
除了进气歧管外的等离子室的所有部件都是传统部件。在以下共同转让的美国专利中描述了传统等离子CVD和刻蚀室的设计与操作,在此通过引用将每个专利的全部内容并入到这个专利说明书:12/1/98授权给怀特等人的美国专利5,844,205;以及8/8/98授权给张等人的美国专利4,854,263。
进气歧管
图2到图4更详细地示出了进气歧管或进气管。进气歧管具有内部区域,此内部区域由分气板或扩散器20界定底部,由弹性侧壁或悬架24界定侧面,由顶壁或后壁28界定顶部。(图2与图3中示出的三角形转角支承柱将在后面描述。)
在图示实施例中,分气板20是3cm厚的铝板。优选地它应该足够厚以使得当在室内建立真空时它不会在大气压下严重变形。
在我们的新型进气歧管设计中,分气板20由薄而有弹性的侧壁或悬架24所悬挂,以使得悬架支承分气板的全部重量。如在以下标题为“容纳热膨胀与收缩的弹性悬架”的小节中所解释的那样,悬架是弹性的以最小化分气板上响应于其热膨胀与收缩的应力。弹性侧壁的上末端具有直接或非直接安装到室壁10并由室壁10支承的上凸缘26。以“非直接”方式安装和支承,我们指悬架的上末端可以通过置于上凸缘26与室壁10之间的中间部件来由室壁支承,所述中间部件例如图1实施例中的盖子18和进气歧管后壁28。
进气歧管的顶壁或后壁28被安装成与悬架的上末端或上凸缘26相邻接,以使得后壁形成进气歧管的内部区域的上边界或外围。
在具有矩形扩散器或分气板20的图示实施例中,弹性侧壁或悬架24优选地包括四个小平面(facet)或段,其中每个段都是一片不同的薄且有弹性的金属片。侧壁的四个段中的每一个都被安装到分气板的四侧中相对应的那一侧。侧壁或悬架24的四个段或小平面共同环绕进气歧管的内部。
分气板中的孔22应当具有比等离子体暗区(plasma dark space)的宽度更小的直径,以阻止等离子室中的等离子体进入由进气歧管围成的区域,即分气板20与进气歧管的顶壁或侧壁28之间的区域。暗区的宽度,以及由此孔的最佳直径,都依赖于将在室中执行的期望的特定的半导体制造处理的室压和其他参数。或者,为了执行使用了特别难以离解的反应气体的等离子处理,可能期望采用具有窄入口与更宽的外展出口的孔,如上面引用的授权给张等人的美国专利4,854,263中所描述的那样。
优选地,进气歧管还包括进气导流器,进气导流器包括圆盘32,其具有比进气孔30的直径略大的直径并由支柱(未示出)悬挂在孔下面。导流器阻挡气体以直线路径从进气口30流入分气板中心处直接相邻的孔22,由此有助于使通过分气板的中心与周围的各个气体流动速率均等。当进气歧管侧壁未暴露到大气中时的真空密封
在图1到图11中所示的实施例中,顶壁或后壁28的上表面是进气歧管暴露到外界大气压的唯一部件,因此后壁是进气歧管需要真空密封的唯一部件。具体地,通过进气歧管后壁28与电介质间隔件34之间的第一真空密封材料45,并通过电介质34与室壁表面之间的第二真空密封材料46,来提供室内部与室外的外界大气之间的真空密封。在图示实施例中,所述的后表面是室盖18的在其上放置电介质的表面。因为图示实施例包括可拆式室盖18,因此在盖子与室侧壁10之间需要额外的真空密封材料48。密封材料45、46与48优选地都是O形环。
在本实施例中,在进气歧管后壁28与弹性侧壁24的上凸缘26之间不要求有气密密封。在此连接处漏气的唯一后果是将有少量的处理气体将通过泄漏而不是通过分气板20中的孔22进入室内部。因此,在图示的优选实施例中,在后壁28与弹性侧壁的上凸缘26之间没有O形环。上凸缘26仅仅由多个螺栓72栓接到后壁28上,所述螺栓72插入到沿后壁的边缘部分(rim)间隔分布的螺纹孔中(参见图4)。优选地,螺栓72将上凸缘固定在后壁与和上凸缘更厚更具刚性的增强杆件27之间。
在其中分气板或扩散器20被如上所述地连接到RF电源的一般的室操作中,在RF电源与扩散器之间具有可靠、低阻抗的连接对于维持稳定的等离子体是很重要的。因为进气歧管侧壁24是金属,因此它们可以在分气板20与进气歧管后壁28之间提供良好的RF电接触。因此,连接分气板与RF电源的电缆可以直接连接到后壁的外表面而非分气板。将RF电缆直接连接到分气板将不是所期望的,因为它将把RF连接器暴露到可能为腐蚀性的处理气体混合物中。螺栓72有助于确保进气歧管后壁28与进气歧管的弹性侧壁24的上凸缘26之间的良好RF电接触。通过扩散器的重力维持下凸缘54与扩散器的侧面中的圆周槽21之间的压力,可以获得侧壁24的下凸缘54与扩散器20之间良好的RF电接触。在图4的实施例中,焊珠56提供了下凸缘与扩散器之间额外的电接触。
当侧壁的加固凸缘暴露到大气中时的真空密封
在图12到图14所示的可替换实施例中,增强杆件27被外部加固凸缘70替代,外部加固凸缘70的外围暴露到外界大气。这与图1到图11的实施例不同,在图1到图11的实施例中,包括上凸缘26的整个悬架24都被进气歧管的顶壁或后壁28的外围所完全包围。因此,在图12到图14的实施例中,侧壁的加固凸缘70一定对室内部与外界大气之间的真空密封有影响,这要求比以前的实施例多一个O形环。
如在以前的实施例中一样,在电介质间隔件34的两侧需要两个O形环45、46或其他密封材料,即,在电介质与弹性侧壁24的加固凸缘70之间为第一O形环45,以及在电介质与盖子18之间的第二O形环46。与以前的实施例不同,本实施例在加固凸缘70与进气歧管的后壁28之间额外地需要第三O形环47或其他密封材料。
为了在外部加固凸缘70与进气歧管的后壁28之间进行有效真空密封,加固凸缘70与第三O形环47接触的部分沿着O形环的整个圆环必须是连续而不中断的(参见图14),这与以前的实施例不同,在以前的实施例中上凸缘26并没有绕着进气歧管的四个转角中的任何一个延伸。
对于弹性侧壁或悬架24来说不必要是连续而不中断的,因为它不是室内部与外界大气之间的真空密封的一部分。因此,它可以如在以前的实施例中一样,是四个不同的段。
绕着进气歧管后壁28的边缘部分间隔分布的多个螺栓72将悬架24的加固凸缘70固定到后壁上。
外部加固凸缘70优选地成形为具有空心的矩形框。可以通过从矩形板上剪切或冲压掉空心部分来制造该凸缘70。本实施例的外部加固凸缘70替代了以前的实施例的四个增强杆件27。加固凸缘70优选地应当具有与进气歧管后壁28邻接的光滑、平坦的上表面。为了防止悬架24的上凸缘26凸出此上表面的平面,优选地在此加固凸缘的上表面之下凹入的支架处将上凸缘26固定(例如,通过焊接57)到加固凸缘70上。
如上述讨论的图1到图11的实施例中一样,在图12到图14的优选实施例中我们优选地将RF电缆直接连接到进气歧管后壁28的上表面。螺栓72将悬架24的加固凸缘70压向后壁28,由此有助于确保后壁与悬架之间的良好的RF电接触。与图1到图11的实施例相比,本实施例的一个重要优点是螺栓72可以在径向上位于O形环47的外面。因此,O形环47保护螺栓72——并且最重要的是保护后壁28与悬架的加固凸缘70之间电接触的邻近区域——免于暴露到室内的腐蚀性处理气体与等离子体中,所述暴露最终将恶化电接触。
与图1到图11的实施例不同,图12到图14的实施例使得加固凸缘70的径向上靠外的部分没有被进气歧管顶壁或后壁28所覆盖。因此,本实施例允许将来自RF电源的电缆74直接连接到加固凸缘70在径向上位于进气歧管后壁28的周界之外的区域上,如图15所示。在此可替换的实现中,因为电缆没有连接到后壁,因此不需要确保侧壁24与后壁之间低阻抗的电接触。优选地,在图15的实施例中,使用与图12到图14的实施例中相同的螺栓72将加固凸缘70机械安装到侧壁28,不过在图15中没有示出这些螺栓。
容纳热膨胀与收缩的弹性悬架
我们的进气歧管的弹性侧壁或悬架24的新的且很有价值的功能在于,当扩散器经受热引发的膨胀与收缩时,其最小化对分气板或扩散器20的机械应力。(为了简明,将分气板称为扩散器)如果扩散器被刚性地安装在室中而不是利用我们的新型弹性悬架,我们认为扩散器与其安装到其上的室部件之间的温度和热膨胀系数的差异将在扩散器中产生机械应力,这最终将使扩散器变形或开裂。
扩散器20的膨胀量与扩散器尺寸及其温度二者成比例。因此,对于处理例如大平面显示器之类的更大加工件所需的更大扩散器来说,减小机械应力是最重要的。因为下述理由,希望在CVD处理的操作期间将扩散器维持在250℃至375℃。我们发现,在这样的温度下铝扩散器在每个方向上膨胀约百分之一(1%)。例如,30cm×35cm扩散器的宽度膨胀约3mm,而105cm×125cm扩散器的宽度膨胀约12mm。相对于扩散器中心的固定参考点,扩散器的每个边向外膨胀约这个量的一半(0.5%)。
在室的正常操作期间,当扩散器20的宽度响应于其温度增加而膨胀时,其迫使弹性侧壁或悬架24以扩散器的膨胀量向外弯曲(即,在大致垂直于侧壁平面的方向上)。侧壁应当具有足够的弹性来弯曲这个量而不会产生很大的力。具体而言,扩散器与侧壁之间的弯曲力应当足够低以避免使扩散器开裂或变形。更具体地,弯曲力应当足够低,以防止扩散器形状的变形超过0.1mm=100微米,更优选地防止超过0.025mm=25微米,最优选地防止超过0.01mm=10微米。避免扩散器与衬底14相对的表面的平整度或轮廓(contour)的变形超过此量尤为重要。
我们成功地测试了图1到图6所示的设计的两个原型:一个原型具有30cm×35cm扩散器20和50mm高的侧壁24,另一个原型具有105cm×125cm扩散器和55mm高的侧壁。在两个原型中,侧壁都是厚度为1mm的铝片。更大的厚度将不是很好,因为它将减小侧壁的弹性与热阻。不过,我们认为本发明的侧壁片可以是2mm或3mm厚。
虽然完全由弹性铝片来构造弹性侧壁或悬架24以使其沿着侧壁的整个高度都是弹性的是最简单的,但这不是必须的。对于悬架,在上末端26与下末端54之间的某个位置包括至少一个弹性部分就足够了。
减小弯曲力的设计参数是:(1)为悬架的弹性部分选择弹性更好的材料;(2)减小弹性部分的厚度;以及(3)增加弹性部分的长度(即高度)。对于长度或高度,我们指侧壁的弹性部分沿着垂直于扩散器平面的方向的尺寸。
如上所述,响应于在室的操作期间的加热,我们的105cm×125cm扩散器以百分之一或12mm在宽度上膨胀。因此,四个侧壁中的每一个以该量的一半即6mm而侧向偏移。每个侧壁弯曲的角度等于侧壁的侧向偏移量除以侧壁的高度,在这个示例中是6mm/55mm=0.11弧度=6.3度。因此,在我们的示例中,侧壁或悬架24应当具有足够的弹性(即充分薄和长),以弯曲至少6.3度而不会在扩散器上施加很大的力。如上所述,这样的弯曲力优选地应当不会使扩散器形状的变形超过10或25微米。
在图示的优选实施例中,衬底14与扩散器20是矩形的。虽然弹性侧壁24可以是具有矩形横截面的单个、不断开的环体,但不断开的设计不是优选的,因为热引起的扩散器的机械膨胀与收缩将在侧壁24的转角处产生过多的应力。我们用于避免这种应力的优选设计是:将弹性侧壁分为四个段或小平面,每个用于矩形扩散器的每一个侧面,并在每个转角处提供新型膨胀连接,在该连接处只允许泄漏可忽略的气体量。
具体地,进气歧管侧壁或悬架24优选地由分别位于矩形进气歧管四侧的四段不同的薄而有弹性的铝片构成。(参见图2与图3。)四个段24中的每一个优选地都由平的矩形金属片形成,所述金属片的上末端被弯曲90℃以形成向外伸展的上凸缘26,而且所述金属片的下末端被弯曲90℃以形成向内伸展的下凸缘54。(参见图4。)
四个上凸缘26中的每一个都被刚性条27加固,刚性条优选地为5mm厚的铝条。每个加固条27被栓接到进气歧管后壁28的下侧,并且相应的上凸缘26被夹在加固条与后壁之间,由此把上凸缘固定到后壁。
扩散器20的四侧中的每一侧都具有圆周槽21,所述圆周槽21跨过或几乎跨过扩散器的整个宽度而延伸。为了将弹性悬架或进气歧管侧壁24固定到扩散器,四个悬架段或小平面24中的每一个优选地具有向内伸展的下凸缘54,所述下凸缘54插入到扩散器中相应的槽21中(图4)。
在图4的实施例中,下安装凸缘54和扩散器20通过一个或多个焊珠56而连接在一起。在具有上述300mm×350mm扩散器的实施例的测试中,我们发现,当在一般等离子体处理循环期间激发和停止激发室内的等离子体时,弹性悬架成功地容纳了扩散器由于被加热与冷却而引起的热膨胀与收缩。
我们发现了所描述的其中弹性悬架被刚性固定到扩散器的实施例的一个缺点。在室的正常操作期间,盖子18一直保持关闭。只有为预定的维护或者为了维修室内的意外故障才会打开盖子。我们发现如果没有等室冷却下来就打开室盖,弹性悬架或歧管侧壁24的低的热质量会使其比扩散器更快冷却,并由此更快地收缩,因此产生的机械应力可以使弹性悬架开裂。
图5与图6示出了将弹性悬架或进气歧管侧壁24固定到扩散器20的优选设计,以允许进气歧管侧壁24的每个段在扩散器的槽21内滑动。在我们对此优选实施例的测试中,即使在室热的时候把室盖向外界大气打开时,也没有进气歧管侧壁或扩散器开裂的迹象。
图5与图6的设计的主要特点在于,允许进气歧管侧壁的每一段的下凸缘54在扩散器20的槽21内滑动,但下凸缘包括约束特征,阻止下凸缘完全滑出该槽。在我们的优选实施例中,约束特征是下凸缘54中的一组一个或多个孔80、81以及相等数量的固定到扩散器的销82。每个销穿过多个孔中相对应的一个并伸出,从而约束下凸缘在槽中滑动的量不会超过每个孔的宽度。
在我们的优选实施例中,每个销82被压配合到扩散器中的孔(未示出)内。或者,扩散器中的孔可以带螺纹并且可以用螺栓来代替销,但螺栓应当比螺纹孔长,以免螺栓头太紧而阻止下凸缘54在扩散器槽内的滑动。
最初将悬架或进气歧管侧壁24固定到扩散器20的过程如下。从扩散器除去所有的销,将进气歧管侧壁段之一放置在扩散器的对应槽21中,以使得侧壁段的孔80、81与扩散器的对应孔对齐。然后将销82插入以穿过侧壁段的孔80、81,并被压配合到扩散器中的孔内。现在,第一侧壁段被销所约束以使得它不能完全从扩散器的槽上拿开。对其他侧壁段24中的每一个重复这个装配过程。
图7示出了比较不理想的替代设计,其中扩散器没有采用圆周槽,而只是具有圆周边缘84,其支托在进气歧管侧壁24的下凸缘54上。如图5的实施例中一样,下凸缘通过被压配合或螺纹连接到扩散器中且与下凸缘54中的孔80、81啮合的多个销或螺栓82被连接到扩散器,因此图6中所示的特征保持不变。图7中的实施例相对于前面实施例的一个缺点是,支托在下凸缘54上的扩散器边缘84没有建立防止气体从进气歧管泄漏的良好密封。另一个缺点是下凸缘将易于向下弯曲,由此减弱了下凸缘于扩散器之间的RF电接触,并可能引起下凸缘开裂或折断。
图6示出了进气歧管侧壁24的四个段中每一个的下凸缘54。在每个凸缘54中,最中间的三个孔80是圆形的,其余的孔81是细长的。每个细长孔81具有相互垂直的短轴(副轴)和长轴(主轴)。每个细长孔81的长轴与凸缘54插入其中的扩散器的槽21的长轴平行。(为了更清楚地图示孔80、81的形状,图6相对于每个下凸缘的长度夸大了每个孔的尺寸和每个下凸缘54的宽度。)
进气歧管侧壁24的每个段将能够沿着扩散器的槽21的长轴方向、以可达“滑动距离”的量滑动,所述“滑动距离”定义为每个细长孔81的长轴宽度和匹配该孔的销82在平行于此长轴方向上的宽度之间的差。总的来说,每个细长孔的长轴应当足够大,使得此滑动距离大于响应于在室操作期间的温度变化的侧壁段膨胀与扩散器膨胀之间的最大预期差。
如果想要为细长孔确定尺寸以适用假想的最坏情形,所述最坏情形是扩散器经受加热和热膨胀而弹性悬架保持零膨胀的低温,那么每个细长孔的滑动距离应当是扩散器的每个侧面相对于扩散器中心的固定点膨胀的量。使用我们的估计,当加热到约300℃时扩散器每个侧面相对于中心膨胀其总宽度的0.5%,那么每个细长孔的滑动距离应当是扩散器宽度的0.5%。因此,每个细长孔的长轴应当等于这个量加上销的宽度或直径。
我们测试的本发明的一个原型具有105cm×125cm扩散器。如上所述,这种尺寸扩散器的每个侧面的最大热膨胀约为0.5%=6mm=0.24英寸。因此,为了适用热的扩散器和冷的悬架的最坏情况,每个孔的滑动距离应当是0.24英寸,即,每个细长孔的长轴应当超过销的直径0.24英寸。
幸运的是,实际上此假想的最坏情形不会发生,因为不能在不加热或冷却悬架相邻部分的情况下加热或冷却扩散器。在等离子室操作期间,扩散器和侧壁被足够缓慢地加热和冷却,使侧壁的下末端保持在与扩散器几乎相同的温度。如上所述,实际上真正发生的最大温差发生在维护人员不等室冷却下来就打开室盖时。即使在该情况下,我们估计扩散器与侧壁片24的下末端之间的温差也将不会超过50℃。因此,扩散器与侧壁下末端之间的热膨胀差将大大小于扩散器的总热膨胀。
为了确定细长孔应当容纳的膨胀差的量,我们在传统等离子CVD室中测试了图1到图6的进气歧管设计的原型,其中扩散器宽度是105cm×125cm,每个销82具有0.099英寸(即约0.10英寸)的直径,并且每个细长孔81具有0.11英寸的短轴和0.19英寸的长轴。因此,沿着长轴的滑动距离是0.19英寸-0.10英寸=0.09英寸。等离子室在若干个加热与冷却的循环中运行,并且在室还热的时候将室盖打开若干次。然后我们将进气歧管从室上除去,并检查侧壁的下凸缘54。每个细长孔81的边缘上的轻微擦痕指示出销82在孔内滑动的距离。如预期的那样,离中心最远的孔显示出最大的滑动距离,但所观察到的距离仅仅约0.03至0.04英寸。这大大小于孔的长轴所允许的最大滑动距离0.09英寸。因此,细长孔看来提供了很大的安全余量,足以容纳比我们实际观察到的大两倍或三倍的更大的热膨胀差。
反过来,所观察到的不超过0.04英寸=1mm的滑动距离小于扩散器宽度的0.1%。因此,使用其长轴超过销的相应宽度至少0.03英寸或0.04英寸或更一般地超过至少扩散器宽度的至少0.1%的细长孔,就应当可以容纳热膨胀差。使长轴大于所需的尺寸的主要缺点是,更大的孔会削弱下凸缘54从而增加了它将开裂的风险。
每个细长孔81的短轴只需要超过匹配销82与该轴平行的宽度一个足以防止该销固定在孔中的微小量,使得下凸缘54将不会被固定住而可以沿着长轴自由滑动。尺寸上的此微小差异可以大大地小于以上段落所讨论的沿着长轴的滑动距离。在图示的优先实施例中,每个细长孔81的短轴是0.110英寸,其超过每个销82的0.099英寸的直径0.011英寸。
如果弹性悬架24的下凸缘54中的所有孔都如所描述那样是细长的,本发明也起作用。然而,不需要整个下凸缘都在扩散器的槽中滑动。如果在一点将下凸缘固定到扩散器上,使得当下凸缘和扩散器膨胀和收缩时允许下凸缘的其余部分相对此固定点滑动,就可以容纳热膨胀与收缩的差。因此,在我们的优选实施例中,最靠近每个下凸缘54中间的三个孔80是圆形的而非细长的。每个圆形孔80的直径与细长孔81的短轴相等,即0.110英寸。因为对这些固定点来说除了固定住之外不要求自由动,所以圆形孔80可以与它们的相应销82的直径一样小。
或者,悬架24的每个段的下凸缘54可以在一点被焊接或以其他方式固定到扩散器上,该点优选地在下凸缘54的中心附近,在此情况下圆形中心孔80和它们的相应销82可以被完全省略。
例如通过使用如上所述的小的圆形孔80或焊接而最小化下凸缘54在其中心附近的滑动的优点是,它保持下凸缘相对于扩散器的对中。在原型室中,进气歧管周围的余隙非常紧,因此精确对中很重要。在每个下凸缘上只使用一个单独的圆形孔80而非三个,也可以获得此好处。在优选实施例中使用三个圆形孔,这样即使有一个孔无意损坏了也可确保精确对中。
在优选实施例中,孔80、81以3.2英寸间隔分布。然而,孔之间的间隔不很重要,很大范围的间隔都可以工作得很好。
在其中通过螺栓72将侧壁的上凸缘26直接安装到进气歧管顶壁或后壁28的实施例(例如图5与图8)中,优选地要避免由上凸缘26与后壁28之间的热膨胀差引起的应力。因此,安装螺栓72所穿过的上凸缘26中的孔应当按照与下凸缘54的孔80、81相同的圆形或细长形状来制造。弹性悬架的转角密封
因为优选实施例将弹性悬架或进气歧管侧壁24实现为四个独立的段或小平面,两个相邻的侧壁段将在扩散器四个转角的每一个附近相遇。在每个转角处应当提供相邻侧壁段24的边缘之间的连接或密封,以使得多余的处理气体不会在连接处从进气歧管泄漏到室内。为了保持我们的弹性进气歧管侧壁在容纳扩散器热膨胀方面的好处,此连接应当在扩散器膨胀和收缩时容纳进气歧管侧壁的弯曲。
图2、3与9示出了在扩散器四个转角的每一个转角处的优选连接。四个侧壁段24中的每一个的两个末端60都以45度角向内弯曲,以使得两个相邻侧壁段24各自的末端在给定的转角处共面。通过在两个末端60上滑行的槽形耦合件62、64(或者称为槽形封盖件或槽形密封部件)来实现相邻末端60之间的适度气密的密封。通过将两片铝片沿着中心的竖直接合线焊接在一起,并弯曲一个耦合件片62以在其与另一个耦合件片64之间创建出槽,来制造耦合件。通过在两个末端60上滑行槽形耦合件,使得耦合件的接合线在两个末端60之间的间隙中大致对中,并且使得每个末端60在耦合件的两个槽的一个对应槽中紧密配合,来安装槽形耦合件。确定槽的尺寸以使槽按足够的紧密度配合在末端60的周围,使得允许从进气歧管向室泄漏一定量的气体,所述一定量的气体只是通过孔22的预期气流的一小部分。不过,确定槽的尺寸足够大,以允许当扩散器膨胀与收缩时末端60的径向移动。
图10与11示出了槽形封盖件或耦合件的替代设计,包括单个的矩形金属片66。如图10所示剪切掉了一对矩形切口,以在耦合件66的两半之间只留下较细的桥68。耦合件66在桥处对折,如图11所示。桥68的宽度W足够窄,以在两个进气歧管侧壁的两个末端60之间滑动,所述两个侧壁在转角处接合。槽形耦合件66按照与上述耦合件62、64相同的方式进行安装:即,通过在两个末端60上滑动耦合件66。当耦合件66如图11所示折叠时,桥68的长度L确定耦合件66两半之间的间隙。此间隙应当足够大以在进气歧管侧壁响应于扩散器的膨胀与收缩而弯曲时允许末端60的移动,但它应当足够小以使得槽形耦合件66的两半紧密配合在未端60周围来最小化上段所述的气体泄漏。
我们的优选实施例额外地在进气歧管的四个转角的每一个处包括具有矩形横截面的转角支承柱58,如图2、3、8与9中所示。该转角支承柱优选地如图8与图9中所示被栓接到扩散器20,不过可替换地它也可以被栓接到进气歧管的后壁28。该转角支承柱应当从槽形耦合件或密封62、64向外相隔,使得在扩散器膨胀和收缩时其不会干涉槽形耦合件的移动。
四个转角支承柱58执行两个功能。第一功能是阻止通过进气歧管转角的气体泄漏。通过转角柱的边缘或侧翼59来实现该功能。每个边缘或侧翼59是转角柱的侧向延伸,所述延伸跨过相邻槽形耦合件62-66与进气歧管侧壁24的相邻段之间的接合处,从而用足够的长度重叠该侧壁段24,以给通过该接合处的气体泄漏提供很大的阻抗。增加重叠的长度有益地增加该阻抗。在优选实施例中,0.28英寸的重叠给泄漏提供足够的阻抗。我们认为0.1英寸或更大的重叠将足够了。虽然制造方法对其操作并不重要,但是我们通过机加工铝块来将包括侧翼的每个转角柱制造为整体件。
为了防止转角支承柱58阻碍弹性悬架或侧壁24与扩散器20之间的相对运动,每个转角支承柱应当比侧壁的高度稍短,并且应当从相邻槽形耦合件62-66在径向上向外以一定间隙相隔,当弹性侧壁相对于扩散器膨胀至最大预期程度时,该间隙足以防止转角支承柱与耦合件邻接。同样,每个边缘或侧翼59应当从侧壁24的相邻段在径向上向外以足以防止它们邻接的间隙相隔。在优选实施例中,两个间隙都约为0.010至0.015英寸,并且每个转角柱都比侧壁短约0.005至0.010英寸。
四个转角支承柱58的第二功能只与等离子室的维护有关,而与其操作无关。当进气歧管组件20-32存放在等离子室外部时,例如当此歧管组件作为备用部件存放时或者当其从等离子室中取出以允许室的维护时,此第二功能防止薄的侧壁24倒塌。
或者,可以从四个转角支承柱58省略侧翼59,因为没有侧翼时在进气歧管24的转角处的气体泄漏可能已经足够小。而且,如果上段所述的存放与维护的便利不重要,那么转角柱可以被完全省略。
在图16中所示的替代设计中,仅仅通过延伸弹性侧壁24的四个段中的每一段以使得它们在扩散器的四个转角处邻接,就可以省略四个转角封盖件或耦合件60-66以及四个转角支承柱58。此简化设计可能在转角处产生更多的处理气体泄漏,但在许多应用中此泄漏量可以很小而不会严重影响正在加工件上进行的等离子体处理。
在意于处理例如硅晶片的圆形加工件14的室中,扩散器20优选地应当有圆形横截面,而非如上述例子中的矩形横截面。在该情况下,进气歧管的弹性悬架或侧壁24可以是具有环形形状的单个完整件。或者,可以通过将悬架分为任意数量的轴向延伸的段,来增加悬架的弹性,所述轴向延伸的段由轴向延伸的小间隙隔开,与上述实施例中矩形侧壁的四个段类似。
虽然在现今最常用的用于处理200mm直径硅晶片的室中扩散器的热膨胀并不是很严重的问题,但随着工业向更大直径的晶片以及由此向更大直径的扩散器发展,热膨胀将变得更重要。因此,这是本发明重要的预期应用。
分气板的隔热
在通常在等离子室中执行的许多半导体制造处理中,需要将衬底14保持在高温。这通常由安装在衬底支承底座12内的电热器来完成。温度必须在横跨衬底的整个暴露(前)表面上都是空间均匀的,以获得正在衬底上执行的制造处理的良好空间均匀性。
当衬底具有低的导热性时,对于用来制造平面显示器的玻璃衬底确实是这样的,更加难以获得衬底表面温度的空间均匀性。一般,从底座到衬底前表面有50℃到75℃的温度下降。因此,衬底表面温度不只由底座温度确定,而是还受到附近室部件温度的强烈影响。
在一般的等离子室中,扩散器或分气板20是最靠近衬底表面的室部件(除了底座),因此它对衬底温度有最大的影响。获得扩散器温度的高的空间均匀性对获得衬底表面温度的高的空间均匀性是很重要的。
扩散器的温度由以下热量之间的平衡来确定:(a)从等离子体向扩散器传递的热量和来自受热衬底的黑体辐射,与(b)从扩散器向室壁10传导的热量。在传统设计中,因为分气板的周边直接栓接到具有高热质量和高导热性的室盖或侧壁,使得盖子或侧壁成为将热量从分气板的周边导走的散热器,所以扩散器在周边处的温度比其中心处通常低100℃。扩散器相对较冷的周边降低了衬底表面周边的温度,因此降低了衬底表面温度的空间均匀性。
相比较而言,我们的新型进气歧管通过在分气板和该分气板安装到其上的其他室部件之间提供热阻,可以将分气板热隔离,所述其他室部件例如是盖子18与室壁10。此热隔离的一个优点是它减少了扩散器周边的热损失,并由此减小了扩散器中心与周边的温度差。
本发明提供的热隔离的另一个优点是,它使得扩散器或分气板20能够在比传统设计更高的温度下工作。更高温度的扩散器减少了从衬底的热损失,由此减小了衬底表面与衬底支承底座之间的温度差。因此,对于给定的底座温度,半导体制造处理可以在更高的衬底表面温度下执行,或反过来,对于处理所要求的给定衬底表面温度,底座可以在更低温度下工作,这可以延长底座的寿命。
而且,如果希望使用传统的原位(in situ)等离子处理来从室的内部清除残渣,那么如果提高分气板的温度就会加速分气板的清洁。
为了获得所期望的分气板20的热隔离,我们的进气歧管侧壁24(或它的一部分)足够薄,并具有足够的长度或高度,使得侧壁24(或它的一部分)的热阻大到足以在分气板与该分气板安装到其上的室部件之间提供很大的温度差,所述室部件是进气歧管顶壁或后壁28、室盖18、室侧壁10与O形环45到47。对于长度或高度,我们指沿着垂直于分气板平面的方向上的尺寸。在成功测试的图1的实施例中,进气歧管侧壁室是具有1mm厚度和5cm高度的铝片。
当执行等离子CVD处理时对于分气板20我们的优选温度是至少200℃,优选地250℃至400℃,最优选地300℃至325℃。我们的进气歧管侧壁24具有足够的热阻,以在外部室部件不超过100℃至140℃的同时允许分气板达到这样的温度。室壁10、盖子18和进气歧管顶壁或后壁28可以被考虑用作散热器,以将O形环45到48保持在足够低的温度。
如果等离子处理期间在分气板20处的温度是300℃,在进气歧管后壁28与O形环45到48处是140℃,那么跨过进气歧管侧壁24的温度差约为160℃。本发明认为侧壁的厚度与高度优选地应当分别足够地小与足够大,使得在等离子处理期间在室部件达到它们的正常操作温度后这种温度差至少是100℃。
我们比较两个等离子室,其中一个室使用图1到图11的悬挂式进气歧管设计,另一个室是传统室,其中扩散器或分气板20直接栓接到进气歧管顶壁或后壁28,该另一个室的其它方面与前一个室相同。在两个室中,衬底支承底座内的电热器将底座保持在400℃。进气歧管的顶壁或后壁28、室盖18与室壁10用保持在85℃的水冷却。在传统室中,扩散器温度从中心的250℃变化到周边处的150℃,有100℃的空间变化。在采用了根据本发明的悬挂式进气歧管的室中,扩散器温度在中心与周边分别是325℃与315℃,只有10℃的空间变化。因此,本发明将扩散器温度的空间均匀性提高了十倍。
(虽然我们获得了仅仅10℃的空间变化,但如果悬挂式进气歧管的热阻足以获得不超过50℃或优选地不超过20℃的空间变化,则该悬挂式进气歧管将在本发明的范围内。)
此外,衬底表面中心处的表面温度在传统室中比受热底座低70℃,但在使用本发明的室中只比底座低25℃。因此,对于给定的底座温度,本发明获得了高45℃的衬底表面温度,或者反过来,本发明可以允许底座在低45℃的温度下操作以获得给定的衬底表面温度。
(虽然我们获得了在受热底座与衬底表面的中心之间仅仅25℃的温度差,但如果悬挂式进气歧管的热阻足以获得不超过50℃或者优选地不超过35℃的差,则该则该悬挂式进气歧管也将在本发明的范围内。)
扩散器与进气歧管的后壁之间的热隔离不能通过进一步增加侧壁的热阻而被完全降低到零。除了通过侧壁的热传导之外,热量还将通过辐射从扩散器传向后壁。如果侧壁的热阻足够高使得通过传导传递的热量大大小于通过辐射传递的热量,那么热阻的任何进一步的增加将几乎不会提供好处,因为通过辐射传递的热量将起主导作用。
为了确保室内部与外界大气之间的可靠的真空密封,保护O形环45到48不会过热是很重要的。低成本O形环(例如由Viton橡胶构成)一般被生产商额定在250℃或更低温度,并且一些专家认为这样的O形环应当被维持在100℃或更低温度以最大化其可靠性。
O形环46与48直接接触室盖18,而O形环47直接接触进气歧管的后壁28,因此预计这些O形环的温度与盖子和后壁各自的温度大约相同。在第一实施例中,O形环45直接接触后壁,而第二实施例(图12到图14)中O形环45直接接触悬架24的增强凸缘70。因为增强凸缘优选地与后壁良好热接触而被安装,所以预计本实施例中的O形环45只比其他O形环稍微热一点。
我们发现,只是暴露到外界大气就足以将盖子18与室壁10维持在100℃至140℃。进气歧管后壁28通常更冷,因为它没有直接暴露到来自室内等离子体的热辐射中。因此,我们预计O形环45到48的温度将不会超过140℃。此温度很低,足以使我们相信不需要任何额外的冷却,例如水冷。
然而,可选地,可以通过在室侧壁10上环绕水套(未示出)来进一步冷却侧壁10,用泵抽冷却水使冷却水通过所述水套。类似地,可以用泵抽取相同的水使其通过安装在进气歧管后壁28上表面上、封盖件16之下的密封水套(未示出),来冷却封盖件16、盖子18与进气歧管后壁28。这样的水冷可以防止O形环45到48的温度超过100℃。
因为进气歧管的顶壁或后壁28是RF供电的,所以应当在水套与后壁之间置入电介质。如果期望增加水套与后壁之间的温度差,可以选择更厚的电介质。这在期望将后壁维持在比水温高很多的温度的应用中可能是有用的,所述比水温高很多的温度例如是超过100℃的温度。将后壁维持在这样的高温将有助于提高分气板的温度,由于下段将解释的原因,这可能是有益的。
没有弹性悬架的热隔离
本专利说明书的上节描述了扩散器或分气板20与扩散器固定到其上的室部件之间的热隔离的好处。如上所述,如果进气歧管的侧壁24具有足够薄的厚度与足够的高度,以在扩散器与侧壁24的上末端所安装到的室部件之间置入很大的热阻,就可以得到这样的热隔离。
此外,进气歧管侧壁24优选地是弹性的,以避免扩散器中由于扩散器与侧壁之间的热膨胀差而产生的应力,也如上所述。虽然是优选的,但这样的弹性对于获得进气歧管侧壁的热隔离好处并不是必需的。例如,为了进一步提高热隔离,用比上述实施例中所使用的铝具有低得多的导热性的材料来制造侧壁24可能更有利。一些这样的材料可能太硬或太脆而不是弹性的。
如果侧壁24不是弹性的,那么应该使用一些其他的方法来避免扩散器中由于扩散器与侧壁之间的热膨胀差而产生的机械应力。如图17中所示,一个解决方法是增大下凸缘54中的孔80、81,以允许扩散器与侧壁之间的移动差,在前面的实施例中由侧壁的弹性来提供所述移动差。具体而言,在弹性侧壁实施例中为细长形的孔81在侧壁是非弹性的时候应该变成是圆形,因为扩散器将在扩散器平面的两个正交方向上热膨胀。
每个圆形孔81的直径至少应当与前面实施例中相应细长孔的长轴一样大。具体地,每个销82在其相应孔81中的滑动距离应当等于或大于在室的操作期间响应于温度变化的侧壁段的膨胀与扩散器的膨胀之间的最大预期差。
在每个下凸缘54中心处的孔80优选地应当被确定尺寸以允许下凸缘在垂直于侧壁24的方向上移动,但通过防止下凸缘在平行于下凸缘长度方向上的移动来保持扩散器的对中。如果孔80是细长的,且其短轴与长轴分别平行和垂直于下凸缘的长度方向,如图17所示,则可以完成该功能。应当根据与以上讨论的圆形孔81的直径相同的标准来确定每个位于中间的孔80的长轴尺寸。
每个细长孔80的短轴只需要超过匹配销82的直径一个足以防止销固定在孔中的微小量,使得下凸缘54将不被固定住而可以沿着长轴自由滑动。尺寸上的这个微小差可能大大小于上面段落中讨论的沿着长轴的滑动距离。例如,如果每个销82具有0.1英寸的直径,那么每个细长孔81的短轴可以是0.11英寸。

Claims (20)

1.一种用于等离子室的进气歧管,包括:
被进气孔穿过的顶壁;
被多个排气孔穿过的分气板,其中所述分气板与所述顶壁间隔开;以及
包括一个或多个段的侧壁,其中每个侧壁段包括在上凸缘与下凸缘之间伸展的竖直定向的片;
其中每个侧壁段的所述上凸缘安装到所述进气歧管的所述顶壁;
其中每个侧壁段的所述下凸缘安装到所述分气板;并且
其中所述侧壁包围所述进气歧管内、在所述顶壁与所述分气板之间伸展的区域,使得所述进气孔和所述排气孔与所述区域处于流体连通。
2.如权利要求1所述的进气歧管,其中:
所述进气歧管的顶壁具有面对所述分气板的表面,所述表面通常是具有四个边的矩形;
所述分气板具有面对所述顶壁的表面,所述表面通常是具有四个边的矩形;
所述侧壁包括四个所述段;并且
四个所述侧壁段的每一个的所述片通常是矩形的,并在所述顶壁的所述表面的四个边中对应的一边与所述分气板的所述表面的四个边中对应的一边之间伸展。
3.如权利要求1所述的进气歧管,其中:
所述分气板在其周边具有一个或多个槽;并且
所述侧壁的每个段的所述下凸缘伸展到一个所述槽内。
4.如权利要求1所述的进气歧管,其中:
所述分气板还包括
从所述分气板的所述周边在径向上向外伸展的边缘,和
固定到所述分气板的所述边缘并从所述边缘向下伸展的多个销;
所述侧壁的每个段的下凸缘被多个孔穿过;
每个下凸缘安装到所述分气板,使得所述销中的每一个从所述孔中对应的一个伸出;并且
每个孔具有大于其对应销宽度的宽度,以允许每个下凸缘和所述分气板之间的相对移动。
5.如权利要求4所述的进气歧管,其中:
每个片是弹性的,以允许所述下凸缘在垂直于所述片的方向上移动;并且
对于所述侧壁的每个段,该段的所述下凸缘中的每个孔具有平行于该段的所述片的长轴。
6.如权利要求4所述的进气歧管,其中:
每个孔沿着所述孔的一个轴的宽度超过其对应的销沿着所述轴的宽度一个量,所述一个量足以允许每个下凸缘与所述分气板之间有一定量的相对移动,所述一定量超过在所述等离子室的操作期间所述下凸缘与所述分气板之间的最大可能相对热膨胀差。
7.如权利要求4所述的进气歧管,其中:
每个孔沿着所述孔的一个轴的所述宽度超过其对应的销沿着所述轴的宽度至少0.03英寸。
8.如权利要求4所述的进气歧管,其中:
每个孔沿着所述孔的一个轴的所述宽度超过其对应的销沿着所述轴的宽度至少为所述分气板的最大尺寸的0.1%。
9.如权利要求1所述的进气歧管,其中:
所述一个或多个侧壁段包括第一和第二侧壁段;
所述第一侧壁段的片与所述第二侧壁段的片被隔开一个间隙,其中所述间隙具有在所述进气歧管的所述顶壁与所述分气板之间竖直伸展的最大尺寸;并且
所述进气歧管还包括柱,所述柱在径向上安装在所述间隙的外侧并定位得足够靠近所述间隙以阻碍通过所述间隙的气体流动。
10.如权利要求1所述的进气歧管,其中:
所述一个或多个侧壁段包括第一和第二侧壁段;
所述第一侧壁段的片沿着第一竖直顶线弯曲第一角度,使得:(i)所述片的第一末端区在所述第一顶线与所述片的一个边之间伸展,以及(ii)所述片的第一中间区位于所述第一顶线的另一侧;
所述第二侧壁段的片沿着第二竖直顶线弯曲第二角度,使得:(i)所述片的第二末端区在所述第二顶线与所述片的一个边之间伸展,以及(ii)所述片的第二中间区位于所述第二顶线的另一侧;
所述第一侧壁段的所述片的所述边和所述第二侧壁段的所述片的所述边被定位成平行并分开一定间隙,其中所述间隙具有在所述进气歧管的所述顶壁与所述分气板之间竖直伸展的最大尺寸;并且
所述第一与第二角度是这样的角度,使得所述第一与第二末端区共面并且只被所述间隙分开。
11.如权利要求10所述的进气歧管,其中所述第一角度与所述第二角度都是45度。
12.如权利要求10所述的进气歧管,还包括在径向上安装在所述间隙外侧的柱,其中:
所述柱沿着所述间隙的整个长度竖直伸展;
所述柱横向伸展,以覆盖所述第一末端区、第二末端区、所述第一中间区邻近所述第一顶线的部分和所述第二中间区邻近所述第二顶线的部分;并且
所述柱定位得足够靠近所述第一与第二区的所述部分,并且所述第一与第二区的所述部分足够大,使得所述柱阻止进气歧管内的气体从所述间隙流过。
13.一种等离子室,包括:
室壁;
固定到所述室壁的进气歧管顶壁,其中所述进气歧管被进气孔穿过;
被多个排气孔穿过的分气板,其中所述分气板位于所述等离子室内并与所述进气歧管顶壁间隔开;以及
包括一个或多个段的进气歧管侧壁,其中每个侧壁段包括在上凸缘与下凸缘之间伸展的竖直定向的片;
其中每个侧壁段的所述上凸缘安装到所述进气歧管的所述顶壁;
其中每个侧壁段的所述下凸缘安装到所述分气板;
其中所述侧壁包围所述进气歧管内、在所述顶壁与所述分气板之间伸展的区域,使得所述进气孔和所述排气孔与所述区域处于流体连通;并且
其中所述进气歧管侧壁在所述室壁与所述分气板之间置入足够高的热阻,使得在所述等离子室的操作期间所述分气板在温度上具有不超过50摄氏度的空间变化。
14.如权利要求13所述的等离子室,其中所述温度上的空间变化不超过10摄氏度。
15.一种用于处理衬底的等离子室,包括:
受热的底座,其具有可以支承衬底的上表面;
室壁;
固定到所述室壁的进气歧管顶壁,其中所述进气歧管被进气孔穿过;
被多个排气孔穿过的分气板,其中所述分气板位于所述等离子室内并与所述进气歧管顶壁间隔开;以及
包括一个或多个段的进气歧管侧壁,其中每个侧壁段包括在上凸缘与下凸缘之间伸展的竖直定向的片;
其中每个侧壁段的所述上凸缘安装到所述进气歧管的所述顶壁;
其中每个侧壁段的所述下凸缘安装到所述分气板;
其中所述侧壁包围所述进气歧管内、在所述顶壁与所述分气板之间伸展的区域,使得所述进气孔和所述排气孔与所述区域处于流体连通;并且
其中所述进气歧管侧壁在所述室壁与所述分气板之间置入足够高的热阻,使得在所述衬底被支承在所述底座上的所述等离子室的操作期间,所述底座与所述衬底的上表面之间的温度差不超过50摄氏度。
16.如权利要求15所述的等离子室,其中所述温度差不超过25摄氏度。
17.一种最小化分气板上热应力的方法,气体通过所述分气板被分送到等离子室的内部,所述方法包括以下步骤:
提供具有被室壁所包围的内部的等离子室;
在所述室内安装进气歧管顶壁;
提供具有一个或多个段的进气歧管侧壁,其中每个侧壁段包括在上凸缘与下凸缘之间伸展的竖直定向的片;
将所述进气歧管侧壁的每个段的所述上凸缘安装到所述进气歧管顶壁,以定位所述进气歧管侧壁的段,使得所述侧壁段共同围成在所述等离子室内的进气歧管区域;
将进气歧管侧壁的所述下凸缘安装到被多个排气孔穿过的分气板,其中所述进气歧管顶壁、所述进气歧管侧壁和所述分气板共同围住所述进气歧管区域;以及
通过进气歧管后壁的孔供应气体,使得所述气体流入所述进气歧管区域并随后通过所述排气孔流入所述等离子室的内部。
18.如权利要求17所述的方法,还包括如下步骤:
维持所述等离子室的所述内部中的等离子体;
其中,提供进气歧管侧壁的步骤包括提供具有足够小的厚度和足够大的轴向高度的每个片的步骤,以响应于从所述等离子体传递来的热量而在所述进气歧管后壁与所述分气板之间产生很大的温度差。
19.如权利要求18所述的方法,其中所述温度差至少是100摄氏度。
20.如权利要求17所述的方法,其中所述提供进气歧管侧壁的步骤包括以下步骤:
提供所述进气歧管侧壁的至少一个弹性部分,所述弹性部分具有足够的弹性,使得将所述进气歧管侧壁弯曲一个量以允许所述分气板膨胀至少百分之一时不需要很大的力。
CNB028177029A 2001-08-03 2002-08-02 用于等离子室的悬挂式分气歧管 Expired - Lifetime CN1327475C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/922,219 2001-08-03
US09/922,219 US6772827B2 (en) 2000-01-20 2001-08-03 Suspended gas distribution manifold for plasma chamber

Publications (2)

Publication Number Publication Date
CN1608305A true CN1608305A (zh) 2005-04-20
CN1327475C CN1327475C (zh) 2007-07-18

Family

ID=25446716

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028177029A Expired - Lifetime CN1327475C (zh) 2001-08-03 2002-08-02 用于等离子室的悬挂式分气歧管

Country Status (7)

Country Link
US (2) US6772827B2 (zh)
EP (1) EP1421599A2 (zh)
JP (1) JP4294478B2 (zh)
KR (1) KR100929455B1 (zh)
CN (1) CN1327475C (zh)
TW (1) TW552829B (zh)
WO (1) WO2003015481A2 (zh)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102290314A (zh) * 2011-09-26 2011-12-21 中国科学院微电子研究所 产生中性粒子束的装置及方法
CN102290312A (zh) * 2011-09-26 2011-12-21 中国科学院微电子研究所 一种平板电极固定结构
CN102822383A (zh) * 2010-02-15 2012-12-12 应用材料公司 抗弧零电场板
CN105190837A (zh) * 2013-05-09 2015-12-23 马特森技术有限公司 用于保护等离子体处理系统中的真空密封件的系统及方法
CN105304445A (zh) * 2014-07-24 2016-02-03 科闳电子股份有限公司 用于等离子反应装置的衬套单元
CN108242511A (zh) * 2016-12-23 2018-07-03 Tes股份有限公司 大面积簇射头组件
CN109155250A (zh) * 2016-05-19 2019-01-04 应用材料公司 用于改良的半导体蚀刻及部件保护的系统与方法
CN109837527A (zh) * 2017-11-24 2019-06-04 北京北方华创微电子装备有限公司 一种进气机构
CN110079789A (zh) * 2008-12-04 2019-08-02 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
CN110504151A (zh) * 2019-08-26 2019-11-26 上海华力集成电路制造有限公司 应用于刻蚀机台分气盘安装的安装件及分气盘的安装方法
CN110729228A (zh) * 2018-07-17 2020-01-24 应用材料公司 陶瓷混合绝缘体板
CN112251734A (zh) * 2015-05-12 2021-01-22 朗姆研究公司 衬底基座
TWI722744B (zh) * 2019-01-07 2021-03-21 日商愛發科股份有限公司 真空處理裝置
CN112563158A (zh) * 2019-09-26 2021-03-26 株式会社爱发科 真空处理装置
CN112928012A (zh) * 2021-02-09 2021-06-08 北京北方华创微电子装备有限公司 半导体设备
CN113838733A (zh) * 2020-06-23 2021-12-24 拓荆科技股份有限公司 一种改进洁净腔室内环境的方法

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
KR101004199B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
KR100501778B1 (ko) * 2001-03-28 2005-07-20 동경 엘렉트론 주식회사 플라즈마 처리 장치
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
JP2003342739A (ja) * 2002-05-23 2003-12-03 Sony Corp プラズマ化学的気相成長装置
DE10392996T5 (de) * 2002-08-08 2005-07-21 Trikon Technologies Limited, Newport Verbesserungen für Duschköpfe
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
WO2005045913A1 (ja) * 2003-11-05 2005-05-19 Tokyo Electron Limited プラズマ処理装置
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
DE102004029466A1 (de) * 2004-06-18 2006-01-05 Leybold Optics Gmbh Medieninjektor
KR20070039931A (ko) * 2004-07-12 2007-04-13 어플라이드 머티어리얼스, 인코포레이티드 가스 확산기 곡률에 의한 플라즈마 균일성 제어
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7726953B2 (en) * 2005-08-30 2010-06-01 United Microelectronics Corp. Pump ring
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20070254112A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
KR100776258B1 (ko) * 2006-09-11 2007-11-15 삼성전자주식회사 플라즈마 발생장치
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8296385B2 (en) * 2007-04-23 2012-10-23 Lenovo (Singapore) Pte. Ltd. Apparatus and method for selective engagement in software distribution
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
CN201442977U (zh) * 2007-09-27 2010-04-28 应用材料股份有限公司 用于放置在pecvd腔中的背板的夹钳机械装置
JP5308679B2 (ja) * 2008-01-22 2013-10-09 東京エレクトロン株式会社 シール機構、シール溝、シール部材及び基板処理装置
KR101632271B1 (ko) * 2008-04-12 2016-06-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 처리 장치 및 방법
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
CN102017057B (zh) * 2008-05-02 2012-11-28 欧瑞康太阳能股份公司(特吕巴赫) 用于基板的等离子体辅助处理的等离子体处理装置和方法
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
KR101617781B1 (ko) * 2009-02-13 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버 전극을 위한 rf 버스 및 rf 리턴 버스
US8931431B2 (en) * 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
US9758869B2 (en) * 2009-05-13 2017-09-12 Applied Materials, Inc. Anodized showerhead
KR200457989Y1 (ko) * 2009-08-31 2012-01-16 이성윤 촛불행사용 초 받침
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110089225A1 (en) 2009-10-15 2011-04-21 Pcc Structurals Inc. Low Turbulence Argon Purging System
KR200453995Y1 (ko) * 2009-10-20 2011-06-14 김정준 밝기 조절 초 바람막이
US20110146577A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Showerhead with insulated corner regions
KR101150722B1 (ko) 2010-01-07 2012-06-08 주식회사 테스 기판 처리 장치
TWI369251B (en) * 2010-02-01 2012-08-01 Ind Tech Res Inst Gas distribution module and gas distribution scanning apparatus using the same
JP6104157B2 (ja) 2010-05-21 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大面積電極にぴったりと嵌合されたセラミックス絶縁体
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
TWI644073B (zh) * 2013-03-11 2018-12-11 美商應用材料股份有限公司 高溫處理室蓋體
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
DE102015110440A1 (de) * 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107919298B (zh) * 2016-10-08 2021-01-29 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US11598003B2 (en) 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
JP7140525B2 (ja) * 2018-03-29 2022-09-21 株式会社アルバック 真空処理装置
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102512210B1 (ko) * 2018-11-12 2023-03-21 주식회사 원익아이피에스 샤워헤드조립체 및 그를 가지는 기판처리장치
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR20200072640A (ko) * 2018-12-12 2020-06-23 삼성디스플레이 주식회사 증착 장치
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200093754A (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
US20200286757A1 (en) * 2019-03-08 2020-09-10 Dsgi Technologies, Inc. Apparatus for annealing semiconductor integrated circuit wafers
CN112071733B (zh) 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
US11515176B2 (en) * 2020-04-14 2022-11-29 Applied Materials, Inc. Thermally controlled lid stack components

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63187619A (ja) 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
KR0164618B1 (ko) * 1992-02-13 1999-02-01 이노우에 쥰이치 플라즈마 처리방법
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
WO1995033866A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5589737A (en) * 1994-12-06 1996-12-31 Lam Research Corporation Plasma processor for large workpieces
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5844205A (en) 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5968276A (en) 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
EP1032723A1 (en) 1997-11-17 2000-09-06 Symetrix Corporation Method and apparatus for misted deposition of thin films
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6302057B1 (en) * 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6254742B1 (en) * 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP3501715B2 (ja) * 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110079789A (zh) * 2008-12-04 2019-08-02 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
CN102822383A (zh) * 2010-02-15 2012-12-12 应用材料公司 抗弧零电场板
CN102822383B (zh) * 2010-02-15 2015-01-14 应用材料公司 抗弧零电场板
CN102290312A (zh) * 2011-09-26 2011-12-21 中国科学院微电子研究所 一种平板电极固定结构
CN102290312B (zh) * 2011-09-26 2013-06-05 中国科学院微电子研究所 一种平板电极固定结构
CN102290314A (zh) * 2011-09-26 2011-12-21 中国科学院微电子研究所 产生中性粒子束的装置及方法
CN105190837B (zh) * 2013-05-09 2018-03-06 马特森技术有限公司 用于保护等离子体处理系统中的真空密封件的系统及方法
CN105190837A (zh) * 2013-05-09 2015-12-23 马特森技术有限公司 用于保护等离子体处理系统中的真空密封件的系统及方法
CN105304445A (zh) * 2014-07-24 2016-02-03 科闳电子股份有限公司 用于等离子反应装置的衬套单元
CN105304445B (zh) * 2014-07-24 2017-08-25 科闳电子股份有限公司 用于等离子反应装置的衬套单元
CN112251734B (zh) * 2015-05-12 2023-03-28 朗姆研究公司 衬底基座
CN112251734A (zh) * 2015-05-12 2021-01-22 朗姆研究公司 衬底基座
CN109155250A (zh) * 2016-05-19 2019-01-04 应用材料公司 用于改良的半导体蚀刻及部件保护的系统与方法
CN108242511A (zh) * 2016-12-23 2018-07-03 Tes股份有限公司 大面积簇射头组件
CN108242511B (zh) * 2016-12-23 2019-10-18 Tes股份有限公司 大面积簇射头组件
CN109837527A (zh) * 2017-11-24 2019-06-04 北京北方华创微电子装备有限公司 一种进气机构
CN110729228A (zh) * 2018-07-17 2020-01-24 应用材料公司 陶瓷混合绝缘体板
TWI722744B (zh) * 2019-01-07 2021-03-21 日商愛發科股份有限公司 真空處理裝置
CN110504151B (zh) * 2019-08-26 2022-02-01 上海华力集成电路制造有限公司 应用于刻蚀机台分气盘安装的安装件及分气盘的安装方法
CN110504151A (zh) * 2019-08-26 2019-11-26 上海华力集成电路制造有限公司 应用于刻蚀机台分气盘安装的安装件及分气盘的安装方法
CN112563158A (zh) * 2019-09-26 2021-03-26 株式会社爱发科 真空处理装置
CN112563158B (zh) * 2019-09-26 2024-04-19 株式会社爱发科 真空处理装置
CN113838733A (zh) * 2020-06-23 2021-12-24 拓荆科技股份有限公司 一种改进洁净腔室内环境的方法
CN112928012A (zh) * 2021-02-09 2021-06-08 北京北方华创微电子装备有限公司 半导体设备
CN112928012B (zh) * 2021-02-09 2023-11-14 北京北方华创微电子装备有限公司 半导体设备

Also Published As

Publication number Publication date
CN1327475C (zh) 2007-07-18
TW552829B (en) 2003-09-11
EP1421599A2 (en) 2004-05-26
JP2005526375A (ja) 2005-09-02
WO2003015481A3 (en) 2003-07-31
WO2003015481A2 (en) 2003-02-20
KR100929455B1 (ko) 2009-12-02
US20050000432A1 (en) 2005-01-06
JP4294478B2 (ja) 2009-07-15
US20020069968A1 (en) 2002-06-13
KR20040019109A (ko) 2004-03-04
US6772827B2 (en) 2004-08-10
US7484473B2 (en) 2009-02-03

Similar Documents

Publication Publication Date Title
CN1608305A (zh) 用于等离子室的悬挂式分气歧管
US20200411350A1 (en) Method and apparatus for substrate transfer and radical confinement
JP4430253B2 (ja) ガス分配プレートを備えたチャンバ及び装置とガス分配プレートの熱応力を最小限にする方法
CN1236657C (zh) 等离子体处理装置和等离子体处理方法
CN1574229A (zh) 喷淋头组合和具有喷淋头组合用于制造半导体装置的设备
KR101332234B1 (ko) 마스크 패널을 갖춘 섀도우 프레임
TWI489003B (zh) 具有加熱腔室襯墊的處理室
CN1924085A (zh) 用于制程处理室喷洒头的悬置机构
CN1673410A (zh) 适应热膨胀的喷头装备
CN1885490A (zh) 载置台装置的安装结构、处理装置和馈电线间放电防止方法
JPH08250441A (ja) 低圧化学蒸着装置
KR20040063828A (ko) 가변식 가스 분배 플레이트 조립체
CN1681079A (zh) 用于前段工艺制造的原地干洗腔
CN1849034A (zh) 等离子体处理装置、狭缝天线和等离子体处理方法
US6228208B1 (en) Plasma density and etch rate enhancing semiconductor processing chamber
US11236424B2 (en) Process kit for improving edge film thickness uniformity on a substrate
CN1181222C (zh) 淀积膜形成装置
CN100350569C (zh) 处理气体导入机构和等离子体处理装置
CN1605125A (zh) 被处理体的升降机构及使用它的处理装置
CN1833312A (zh) 放置台结构以及具有该放置台结构的热处理装置
CN1230877C (zh) 等离子体装置及其制造方法
US20050022742A1 (en) Chemical vapor deposition processing equipment for use in fabricating a semiconductor device
CN1777986A (zh) 对被处理基板进行半导体处理的装置
CN101061572A (zh) 处理装置
CN1582487A (zh) 等离子体处理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20070718

CX01 Expiry of patent term