JP2005526375A - プラズマチャンバの懸架式ガス分配マニホールド - Google Patents

プラズマチャンバの懸架式ガス分配マニホールド Download PDF

Info

Publication number
JP2005526375A
JP2005526375A JP2003520254A JP2003520254A JP2005526375A JP 2005526375 A JP2005526375 A JP 2005526375A JP 2003520254 A JP2003520254 A JP 2003520254A JP 2003520254 A JP2003520254 A JP 2003520254A JP 2005526375 A JP2005526375 A JP 2005526375A
Authority
JP
Japan
Prior art keywords
inlet manifold
gas
distribution plate
gas distribution
sidewall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003520254A
Other languages
English (en)
Other versions
JP4294478B2 (ja
Inventor
アーンスト ケラー,
クゥアンヤン シャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005526375A publication Critical patent/JP2005526375A/ja
Application granted granted Critical
Publication of JP4294478B2 publication Critical patent/JP4294478B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49947Assembling or joining by applying separate fastener
    • Y10T29/49948Multipart cooperating fastener [e.g., bolt and nut]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

1つ以上のシートから構成される側壁により懸架された穿孔付きガス分配板を有するプラズマチャンバ用のガス入口マニホールド。該シートは、熱膨張及び収縮によるガス分配板の応力を軽減する可撓性を提供するのが好ましい。別の形態において、側壁は、ガス分配板とチャンバの他の構成要素との間に熱絶縁をもたらしている。

Description

発明の分野
この発明は、総括的には、プラズマチャンバにガスを供給するためのガス分配マニホールドに関する。特に、この発明は、薄い側壁により吊り下げられた穿孔付きガス分配板を有するマニホールドに関する。
発明の背景
平面型パネルディスプレイや集積回路のような電子デバイスは、通常、複数の層を基板上に堆積させると共に、堆積した材料をエッチングして所望のパターンとする一連のプロセスステップを含んでいる。該プロセスステップは、通常、プラズマ促進化学堆積(CVD)プロセス及びプラズマエッチングプロセスを含んでいる。
プラズマプロセスは、プラズマチャンバと呼ばれる真空チャンバにプロセスガス混合物を供給し、次いで、電力又は電磁力を印加してプロセスガスを励起してプラズマ状態にする必要がある。このプラズマは、ガス混合物を分解して、所望の堆積又はエッチングプロセスを遂行するイオン種にする。
容量励起CVDチャンバにおいて、プラズマは、アノード電極及びカソード電極間に印加されるRF電力により励起される。一般に、基板は、カソード電極として機能するペデスタル又はサセプタ上に装着され、そしてアノード電極は、基板と平行に該基板から近距離に装着される。通常、アノード電極は、プロセスガス混合物をチャンバ内に供給するためのガス分配板としても機能する。このアノード電極には何百〜何千のオリフィスが穿孔されており、プロセスガス混合物は、該オリフィスを通りアノード及びカソード間の間隙に流入する。オリフィスは、基板近くにおけるプロセスガス混合物の空間的一様性を最大にするように、ガス分配板の表面全体に間隔をおいて配置されている。ディフューザもしくは“シャワーヘッド”とも呼ばれるこのようなガス分配板は、チャン(Chang)等に対して西暦1989年8月8日に発行された同一出願人による米国特許第4,854,263号に記載されている。
穿孔付きガス分配板は、プラズマチャンバの蓋体又は上側壁に剛に装着されるのが典型的である。堅い装着は、この穿孔付き板がプラズマから熱を獲得するときの該穿孔付き板の熱膨張を吸収しないという欠点を有している。その結果としてこの板に作用する機械的応力が板を変形させたり、或いは板にひびを入れることがある。機械的応力を軽減することは、大きな平面型パネルディスプレイのような大形の加工物を加工するのに必要な大きな分配板では、最も重要である。従って、このような熱により誘発される機械的応力を最小にするガス分配装置の必要性が存在する。
従来のディフューザもしくはガス分配板の別の欠点は、それらが通常、望ましくないほど低くかつ空間的に一様でない温度で作動することである。特に、ディフューザはチャンバ内でプラズマから熱を受けるが、従来のディフューザは、それがチャンバ壁もしくは蓋体にボルト留めされるその周囲のところで熱を一般に失う。従って、ディフューザの周囲は中央よりも著しく冷えており、これが、ディフューザの近くに配置される基板の表面温度に望ましくない空間的な非一様性を生じさせる傾向がある。更に、ディフューザからチャンバ壁への熱損失は、ディフューザの温度を望ましくないほど低下させ、これが、基板温度を望ましくないほど低下させることがある。
発明の概要
この発明は、基板を処理するのに使用されるプラズマチャンバ用のガス入口マニホールドである。該マニホールドは、側壁により吊り下げられた穿孔付きガス分配板もしくはディフューザを有している。
この発明の一態様において、入口マニホールドの側壁は1つ以上のシートから構成されている。シートによりディフューザを吊り下げる利点の1つは、ガス分配板の熱膨張又は収縮に適応するようにシートを可撓性とすることができ、それによりディフューザの変形もしくは割れを回避することにある。別の利点は、このシートが、ディフューザ温度の空間的一様性を向上させると共に基板からディフューザへの熱損失を減じるように、ディフューザとより低温のチャンバ構成要素との間に相当な熱的インピーダンスを介在させることができることにある。
好適な実施形態において、各シートは、その下端部のところに長く、細いフランジを有している。各フランジはその長さに沿って複数の穴を有し、該穴がガス分配板のリムに設けられたピンと嵌め合わさっている。該穴は、可撓性の側壁とガス分配板との間の差動運動を許容するように、フランジの長手寸法に平行な方向に細長くされている。
別の好適な実施形態において、可撓性の側壁は、小さな間隙により分離された複数のセグメントを有しており、そしてマニホールドは、可撓性の側壁セグメントの運動を許容しながらこれらの間隙を通るガス漏れを最小にする新規な封止フランジを含んでいる。
この発明の別の態様において、入口マニホールド側壁は、ガス分配板とチャンバ壁との間に相当な熱的インピーダンスを介在させ、それにより、ガス分配板の温度の空間的一様性を向上させるばかりでなく、ガス分配板がプラズマからの加熱に応じてより高い温度を達成することを可能にする。本発明の上記態様は、基板もしくは加工物の表面温度の空間的一様性を向上させるのに役立ち、そして加工物が基板支持柱もしくはサセプタの温度に対してより高い温度に達するのを可能にする。本発明のこの形態において、側壁はシートから構成される必要はない。
好適な実施形態の詳細な説明
プラズマチャンバの概要
図1は、ガス分配マニホールドもしくはプレナムとも呼ばれる本発明によるガス入口マニホールド20〜32を含むプラズマチャンバを示している。例示したチャンバは、大形基板上への化学気相堆積(CVD)又はエッチングのようなプラズマ支援プロセスに適している。これは、特に、ガラス基板上に平面型パネルディスプレイの電子回路を製造するためのCVDプロセスを実施するのに適している。
プラズマチャンバもしくは真空チャンバは、該チャンバの内部を囲む、アルミニウムから構成されるのが好ましいハウジングもしくは壁10を有している。このチャンバ壁10は、チャンバ内部の側部と底部の大部分に対する真空囲い体を提供している。金属ペデスタルもしくはサセプタ12は、カソード電極として機能すると共に、加工物もしくは基板14を支持する平らな上側表面を有している。随意であるが、基板は、サセプタに直接に接触する必要はなく、例えば複数のリフトピン(図示せず)によりサセプタの上側表面よりも若干上方に保持しうる。
外部ガス供給源(図示せず)は、一種以上のプロセスガスをプロセスチャンバに供給する。特に、該チャンバは、マニホールド内部として言及される領域を囲むガス入口マニホールドもしくはプレナム20〜32(以下に詳細に説明する)を含んでいる。このガス供給源からガス入口マニホールドの頂壁もしくは後壁(back wall)28にあるガス入口開口もしくはオリフィス30へと延びるガス配管もしくは導管は、プロセスガスをマニホールド内部中に供給する。次いでガスは、ガス分配板及びサセプタ12の間にあるチャンバ内部の領域に入るように、ガス分配板もしくはディフューザ20にある何百もしくは何千のオリフィス22を通り、マニホールド外に流出する。
図示しない通常の真空ポンプは、チャンバ内に所望の真空レベルを維持すると共に、環状の排気スリット42を介してチャンバからプロセスガス及び反応生成物を排出して環状の排気プレナム44に入れ、次いでポンプに至る図示しない排気チャンネルに通す。
ガス分配板もしくはディフューザ20は、それがアノード電極として機能できるように、好ましくはアルミニウムである導電材料から構成されている。図示しないRF電源は、ガス分配板と電気的に接地されたチャンバ構成要素との間に接続されている。RF電源の代表的な周波数は13MHzである。それは強烈なRFであるので、ディフューザもしくはガス分配板20は、環状の誘電スペーサ34,35,36により蓋体から電気的に絶縁されている。チャンバ側部及び底壁10並びに蓋体18は、電気アースに接続されている。サセプタもしくは加工物支持ペデスタル12もアースされるのが典型的であるが、それは、バイアス電源と通常呼ばれる副RF電源に随意に接続することができる。
カソード電極(サセプタ12)及びアノード電極(ガス分配板20)の間に印加されるRF電力は、2つの電極間の領域に電磁場を発生させ、これがその領域にあるガスを励起してプラズマ状態にする。プラズマは、プロセスガス混合物から反応性種を発生させ、これが加工物上の露出材料と反応して所望の堆積もしくはエッチングプロセスを実行する。
加工物14及びガス分配板20の間にあるチャンバの領域におけるプラズマを濃縮するため、分配板の近くにあるチャンバにおける他の金属表面は、誘電ライナーで被覆されているのが好ましい。具体的には、誘電ライナー37は、チャンバ蓋体18の下側にボルト留めされており、また誘電ライナー38は、チャンバ側壁10を覆っている。プラズマ形成を防ぐため及びRF電力の導通を最小にするため、ガス入口マニホールド及び蓋体の間の環状間隙においては、誘電ライナー41がこの間隙を占めている。
取外し自在の蓋体18は、該蓋体がチャンバ壁の追加部分として機能するように、チャンバ側壁10の上に載っている。ガス入口マニホールド20〜32は、内側に延びる蓋体の環状棚部の上に載っている。カバー16は、蓋体18の頂部に固定されている。カバーの唯一の目的は、以下に記載するようにRFで熱いガス入口マニホールドの部分に作業員が偶発的に接触することから保護することである。
チャンバ構成要素は、チャンバ内で行われるべき半導体製造プロセスを汚染せず且つプロセスガスによる腐食に耐える材料から構成されるべきである。アルミニウムは、誘電スペーサ、ライナー34〜41及びO−リング45〜48以外の全ての構成要素についての好ましい材料である。
ガス入口マニホールド以外のプラズマチャンバの全部分は従来の通りである。通常のプラズマCVD及びエッチングチャンバの設計及び作動は、同一出願人による以下の米国特許に記載されており、その各々の全内容は参照によりこの特許明細書に組み込まれる。ホワイト(White)等に対し西暦1998年12月1日に発行された米国特許第5,844,205号及びチャン(Chang)等に対して西暦1989年8月8日に発行された米国特許第4,854,263号。
ガス入口マニホールド
図2〜図4は、ガス入口マニホールドもしくはプレナムをより詳細に示している。このガス入口マニホールドは、底部がガス分配板もしくはディフューザ20に接し、側部が可撓性側壁もしくは懸架装置24に接し、頂部が頂壁もしくは後壁28に接する内部領域を有している。(図2及び図3に示した三角形の隅部支持柱58については後から説明する。)
例示した実施形態において、ガス分配板20は、厚さが3cmであるアルミニウム板である。これは、好ましくは、真空がチャンバ内に発生されたときに大気圧の作用を受けて著しく変形しないような十分な厚さを有するべきである。
この新規なガス入口マニホールドの設計において、ガス分配板20は、薄肉の可撓性側壁もしくは懸架装置24により吊り下げられているので、この懸架装置がガス分配板の全重量を支持する。“熱膨張及び熱収縮を吸収する可撓性懸架装置”と題する後続の欄において説明されるように、この懸架装置は、ガス分配板の熱膨張及び熱収縮に応じてそれに作用する応力を最小にするべく可撓性である。可撓性側壁の上端は、チャンバ壁10に直接又は間接的に装着されると共に同チャンバ壁により支持される上側フランジ26を有している。“間接的”装着及び支持により意味することは、懸架装置の上端が、図1の実施形態における入口マニホールド後壁28及び蓋体18のような、上側フランジ26及びチャンバ壁10の間に介在する中間構成要素を介してチャンバ壁により支持されうるということである。
ガス入口マニホールドの頂壁もしくは後壁28は、懸架装置の上端もしくは上側フランジ26に当接するように装着されているので、後壁は、ガス入口マニホールドの内部領域の上側境界もしくは囲い体を形成する。
矩形のディフューザもしくはガス分配板20を有する例示した実施形態において、可撓性側壁もしくは懸架装置24は、4つの面もしくはセグメントから構成されるのが好ましく、各セグメントは、別個の可撓性金属板の薄片である。側壁の4つのセグメントの各々は、ガス分配板の4つの側部の対応するものに取り付けられている。側壁もしくは懸架装置24の4つの面もしくはセグメントは、ガス入口マニホールドの内部を共同して囲む。
ガス分配板にあるオリフィス22は、プラズマチャンバ内のプラズマがガス入口マニホールドにより囲まれた領域、即ち、ガス分配板20と入口マニホールドの頂壁もしくは後壁28との間の領域に入るのを防止するために、プラズマ暗部の幅よりも小さい直径を有していなければならない。暗部の幅、従ってオリフィスの最適直径は、チャンバ圧力や、チャンバ内で実行されるのが望ましい特定の半導体製造プロセスのその他のパラメータに依存している。代案として、分解するのが特に難しい試薬ガスを用いてプラズマプロセスを遂行するため、上に言及したチャン等に対する米国特許第4,854,263号に記載されているように狭い入口と朝顔形に開いた広い出口とを有するオリフィスを採用するのが望ましいかも知れない。
ガス入口マニホールドはまた、ガス入口オリフィス30よりも若干大きい直径を有すると共に、図示しない柱状部によりオリフィスの下方に吊り下げられる円形ディスク32から構成されるガス入口デフレクタを含むのが好ましい。このデフレクタは、ガス入口30からガス分配板の中央にある直近の穴22への真直ぐな通路へガスが流れるのを遮り、それによりガス分配板の中央及び周囲を通る各ガス流量を均等化するのに役立つ。
入口マニホールド側壁が雰囲気にさらされない場合の真空シール
図1〜図11に示した実施形態において、頂壁もしくは後壁28の上側表面は、周囲の雰囲気圧力にさらされるガス入口マニホールドの唯一の構成要素であり、従って、後壁は真空シールを必要とする唯一の構成要素である。具体的に言うと、チャンバ内部とこのチャンバ外側の周囲雰囲気との間の真空シールは、入口マニホールド後壁28及び誘電スペーサ34の間の第1真空封止材料45と、誘電体34及びチャンバ壁の表面の間にある第2真空封止材料46とによって提供される。例示した実施形態において、後者の表面はチャンバ蓋体18の表面であり、その上に誘電体が載っている。例示した実施形態は取外し自在のチャンバ蓋体18を含んでいるので、追加の真空封止材料48が蓋体とチャンバ側壁10との間に必要である。封止材料45,46及び48は、O−リングであることが好ましい。
この実施形態において、ガス蜜のシールは、入口マニホールド後壁28と可撓性側壁24の上側フランジ26との間に必要とされない。この境界におけるガス漏れの唯一の結果は、少量のプロセスガスがガス分配板20にあるオリフィス22を通ってというよりも漏れを通じてチャンバ内部に入るということであろう。その結果、例示した好適な実施形態においては、可撓性側壁の上側フランジ26と後壁28との間に何らO−リングがない。上側フランジ26は、後壁のリムの周りに間隔をおいて配置されたねじ穴に挿入される複数のボルト72によって後壁28に単にボルト留めされている。(図4参照)ボルト72は、上側フランジを後壁と上側フランジよりも厚くより剛である補強バー27との間に締め付けるのが好ましい。
ガス分配板もしくはディフューザ20が上述したようにRF電源に中で接続されるチャンバの典型的な作動中、RF電源及びディフューザ間の信頼性のある低インピーダンスの接続が安定なプラズマを維持するために重要である。入口マニホールド側壁24は金属であるから、それらはガス分配板20と入口マニホールド後壁28との間に良好なRF電気接触をもたらすことができる。従って、ガス分配板をRF電源に接続する電気ケーブルは、分配板というようりむしろ後壁の外側表面に直接に接続することができる。RFケーブルをガス分配板に直接に取り付けることは、それによりRFコネクタが恐らく腐食性のプロセスガス混合物にさらされることになるので、望ましくはない。ボルト72は、入口マニホールドの可撓性側壁24の下側フランジ54と入口マニホールド後壁28との間に良好なRF電気接触を確実にするのに役立つ。側壁24の下側フランジ54とディフューザ20との間の良好なRF電気接触は、ディフューザの側部にある周方向溝21と下側フランジ54との間に圧力を維持するディフューザの重量により実現される。図4の実施形態において、溶接ビード56は、下側フランジ及びディフューザ間に補助的な電気接触をもたらす。
側壁の補強フランジが雰囲気にさらされる場合の真空シール
図12〜図14に示した代替実施形態において、補強バー37は、補強フランジ70に代えられており、その周囲は外部雰囲気にさらされている。これは、上側フランジ26を含む懸架装置24の全体がガス入口マニホールドの頂壁もしくは後壁28の周囲により完全に囲まれている図1〜図11の実施形態とは異なっている。その結果、図12〜図14の実施形態において、側壁の補強フランジ70は、チャンバ内部と外部雰囲気との間の真空シールに寄与していなければならず、これは先行の実施形態と違ってもう1つのO−リングを必要とする。
先行の実施形態のように、2つのO−リング45,46又はその他の封止材料が誘電スペーサ34のどちらかの側に、即ち、誘電体及び可撓性側壁24の補強フランジ70の間に第1のO−リング45、誘電体及び蓋体18の間に第2のO−リング46が必要である。先行の実施形態と違って、本実施形態は、補強フランジ70と入口マニホールドの後壁28との間に第3のO−リング47又はその他の封止材料を追加として必要とする。
外側補強フランジ70とガス入口マニホールドの後壁28との間の真空シールを行うために、第3のO−リング47と接触する補強フランジ70の部分は、上側フランジ26がガス入口マニホールドの4つの隅部のいずれの周りにも延びていない先行の実施形態とは対照的に、O−リングの全周を囲むように連続であり途切れていてはならない(図14参照)。
可撓性側壁もしくは懸架装置24は、連続であり途切れていないことが必要ではなく、理由は、それがチャンバ内部と外部雰囲気との間の真空シールの一部ではないからである。従って、それは、先行の実施形態のように4つの別個のセグメントとすることができる。
入口マニホールド後壁28のリムの周りに間隔をおいて配置された複数のボルト72は、懸架装置24の補強フランジ70を後壁に取り付ける。
外側補強フランジ70は、開放した中央部を有する矩形フレームとして形成されているのが好ましい。それは、矩形板から開放中央を切り取る又は打ち抜くことによって製造することができる。この実施形態の外側補強フランジ70は、先行の実施形態の4つの補強バー27に取って代わっている。補強フランジ70は、好ましくは、入口マニホールド後壁28に当接する平滑で平らな上側表面を有するべきである。懸架装置24の上側フランジ26がこの上側表面の面よりも上方に突出するのを防止するため、上側フランジ26は、補強フランジの上側表面よりも下方の引っ込んだところにある棚部で(例えば溶接部57により)補強フランジ70に取り付けられるのが好ましい。
前に論じた図1〜図11の実施形態と同様に、図12〜図14の好適な実施形態においても、RFケーブルを入口マニホールド後壁28の上側表面に直結するのが好ましい。ボルト72は、懸架装置24の補強フランジ70を後壁28に押し付け、それにより、後壁及び懸架装置間の良好なRF電気接触を確保するのに役立っている。図1〜図11の実施形態に優る本実施形態の重要な利点は、ボルト72がO−リング47の半径方向外方に配置されうるという点である。その結果、O−リング47がボルト72を保護すると共に、もっと重要なことは、懸架装置の補強フランジ70と後壁28との間の電気接触の隣接領域が究極的に電気接触を劣化させうるチャンバ内の腐食性プロセスガス及びプラズマにさらされるのを防ぐことである。
図1〜図11の実施形態とは違って、図12〜図14の実施形態は、補強フランジ70の半径方向外側部分を入口マニホールド頂壁もしくは後壁28により覆われないままにしている。従って、この実施形態は、図15に示すように、RF電源からの電気ケーブル74が入口マニホールド後壁28の周囲の半径方向外方の領域のところで補強フランジ70に直接に結合されるのを許容している。この代替実施形態において、電気ケーブルは後壁に接続されていないので、側壁24及び後壁間に低インピーダンスの電気接触を確保する必要はない。図15の実施形態において、好ましいのは、図15においてボルトは示されていないが、補強フランジ70が図12〜図14の実施形態と同様のボルトを使用して後壁28に機械的に装着されることである。
熱膨張及び熱収縮に適応する可撓性懸架装置
この入口マニホールドの可撓性側壁もしくは懸架装置24の新規且つ有用な機能は、ガス分配板もしくはディフューザ20が熱により生じる膨張及び収縮を経験するときにこのディフューザに対する機械的応力を最小にする点にある。(ガス分配板は簡略のためディフューザとして言及される。)ディフューザがこの新規な可撓性懸架装置によるというよりも、むしろ剛にチャンバ中に装着されていれば、ディフューザとそれが装着されるチャンバ構成要素との間の温度及び熱膨張係数の差は、ディフューザに機械的応力を生じさせ、これは究極的にディフューザに変形もしくは割れを生じさせることになるであろうと考えられる。
ディフューザ20が膨張する量は、ディフューザの大きさ及びその温度の双方に比例する。従って、機械的応力を軽減することは、大きな平型パネルディスプレイのような大形の加工物を処理するのにより大形のディフューザが必要とされるのに伴い、非常に重要である。以下に述べる理由により、CVDプロセスの工程中、ディフューザを250℃〜375℃に維持するのが望ましい。かかる温度で、アルミニウム製ディフューザは各元が約1パーセント(%)膨張することが分かる。例えば、30cm×35cmディフューザの幅は約3mm膨張し、105cm×125cmディフューザの幅は約12mmだけ膨張する。ディフューザの中心における固定基準点に関しては、ディフューザの各縁はこの量の半分(0.5%)だけ外方に膨張する。
チャンバの通常の作動中にディフューザ20の幅がその温度上昇に応じて膨張するときに、それは、ディフューザの膨張量だけ可撓性側壁もしくは懸架装置24が外方に(即ち、側壁の面に対し大体垂直の方向に)湾曲するのを余儀なくさせる。側壁は相当な力なしにその量だけ湾曲するのに十分な可撓性であるべきである。特に、ディフューザ及び側壁間の曲げ力は、ディフューザの割れもしくは変形を避けるのに十分に小さくなければならない。具体的に言うと、曲げ力は、0.1mm=100ミクロンを超える、より好ましくは0.025mm=25ミクロンに過ぎない、最も好ましくは0.01mm=10ミクロンに過ぎないディフューザの形状の変形を防止するのに十分に小さくなければならない。特に重要であるのは、基板14に面するディフューザの表面の平滑度もしくは輪郭のこの量以上の変形を避けることである。
図1〜図6に示した設計の2つの試作品について成功裡に試験を行った。1つの試作品は、30cm×35cmのディフューザ20及び高さ50mmの側壁24を有し、他の試作品は、105cm×125cmのディフューザと高さ55mmの側壁とを有していた。双方の試作品において、側壁は、厚さ1mmを有するアルミニウムシートであった。厚さが大きくなるほど、側壁の可撓性及び耐熱性の双方が低下することになろうから、ますます望ましくなくなる。それにも拘わらず、この発明における側壁のシートは厚さ2mm又は3mmほどにしうると考えられる。
側壁がその全高に沿って可撓性であるように、可撓性の側壁もしくは懸架装置24全体を可撓性のアルミニウムシートで構成することが最も簡単であるが、これは必要とされない。上側端部26及び下側端部54の間のどこかに少なくとも1つの可撓性部分を含んでいれば懸架装置にとって十分である。
曲げ力を減じる設計パラメータは、(1)懸架装置の可撓性部分のためにもっと可撓性の材料を選択すること、(2)可撓性部分の厚さを減らすこと、(3)可撓性部分の長さ(即ち、高さ)を増すことである。長さもしくは高さとは、ディフューザの面に対して垂直な方向に沿った側壁の可撓性部分の寸法を意味している。
上述したように、チャンバの作動中の加熱に応じて、105cm×125cmのディフューザの幅が1%もしくは12mm膨張した。従って、4つの側壁の各々は、この量の半分である6mmだけ横方向に変形した。各側壁が曲がる角度は、側壁の高さで割った側壁の横方向変位であり、この実施例において、6mm/55mm=0.11ラジアン=6.3度である。従って、この実施例において、側壁もしくは懸架装置24は、相当な力をディフューザにかけることなく少なくとも6.3度曲がるのに十分な可撓性(即ち、十分に薄く且つ長い)であるべきである。上述したように、このような曲げ力は、好ましくは、ディフューザの形状を10又は25ミクロン以上変形させるべきではない。
例示した好適な実施形態において、基板14及びディフューザ20は矩形である。可撓性の側壁24は、矩形横断面を有する単一の切れ目なく続く環状体とすることができるが、熱により招来されるディフューザの機械的膨張及び収縮は側壁24の隅部に過剰応力を生じさせるので、切れ目なく続く設計は好まれていない。かかる応力を回避するためのこの好ましい設計は、矩形のディフューザの各辺に1つ、計4つのセグメントもしくは面に可撓性の側壁を分割し、各隅部のところに新規な伸縮継手を形成し、これが無視しうる量に過ぎない継手でのガスの漏れを許容する。
具体的に言えば、入口マニホールドの側壁もしくは懸架装置24は、矩形の入口マニホールドの4つの側部のところにそれぞれ配置された薄い可撓性のアルミニウムシート製の4つの別個のセグメントから構成されるのが好ましい。(図2及び図3を参照。)4つのセグメント24の各々は、上側端部が90°曲がって外方に延びる上側フランジ26を形成すると共に下側端部が90°曲がって内方に延びる下側フランジ54を形成する平らな矩形の金属薄板片から形成されるのが好ましい。(図4参照。)
4つの上側フランジ26の各々は、好適には厚さ5mmのアルミニウムバーである剛なバー27により補強されている。各補強バー27は、入口マニホールドの後壁28の下側にボルト留めされており、対応する下側フランジ26は、補強バー及び後壁の間に挟持されていて、それにより上側フランジを後壁にクランプする。
ディフューザ20の4つの側部の各々は、ディフューザの幅の全て又は殆ど全てにわたり延びる円周溝21を有している。可撓性の懸架装置もしくは入口マニホールド側壁24をディフューザに取り付けるために、4つの懸架装置セグメントもしくは面24の各々は、ディフューザにある対応の溝21に挿入される内向きに延びる下側フランジ54を有するのが好ましい(図4)。
図4の実施形態において、装着する下側フランジ54及びディフューザ20は、1つ以上の溶接ビード56によって互いに取り付けられている。前に記載した300mm×350mmのディフューザを有するこの実施形態のテストで、可撓性の懸架装置は、典型的なプラズマ工程サイクル中にチャンバ内のプラズマがターンオン及びターンオフされるときにディフューザが加熱及び冷却されるにつれてその熱膨張及び収縮を成功裡に吸収する機能があるように見うけられることが分かった。
可撓性の懸架装置がディフューザに剛に取り付けられている説明したばかりの実施形態の1つの欠点に気付いた。チャンバの通常の作動中、蓋体18は、常時閉じたままである。蓋体は、定期保守のためにのみ又はチャンバ内の予期しない問題の修復するためにのみ開かれる。チャンバが冷えるのを許容することなくチャンバ蓋体が開かれると、可撓性の懸架装置もしくは側壁24の低熱質量(thermal mass)により、それがディフューザよりもますます急速に冷やされ、従って、ますます急速に収縮すること、並びにその結果として生ずる機械的応力により可撓性の懸架装置に割れを生じさせうることが分かった。
図5及び図6は、入口マニホールド側壁24の各セグメントがディフューザにある溝21内で滑動するのを許容するように可撓性の懸架装置もしくは入口マニホールド側壁24をディフューザ20に取り付けるための好適な設計を示している。この好適な実施形態のテストでは、チャンバが熱い間にチャンバ蓋体が周囲雰囲気に対して開かれたときでも、入口マニホールド側壁もしくはディフューザに割れの形跡は何もなかった。
図5及び図6の設計の重要な違いは、入口マニホールド側壁の各セグメントの下側フランジ54がディフューザ20の溝21内で滑動するのを許容されているが、この下側フランジは同下側フランジが完全に溝の外に滑動するのを防止する抑制特徴を含むことである。この好適な実施形態において、抑制特徴は、下側フランジ54にある1つ以上の穴80,81及びディフューザに取り付けられた同数のピン82の組合せである。各ピンは穴のうちの対応する1つから突出し、それにより下側フランジが溝内で滑動するのを各穴の広さよりも大きい量まで抑制する。
この好適な実施形態において、各ピン82は、ディフューザにある穴(図示せず)に圧入されている。もう1つの選択肢として、ディフューザにある穴にねじを切り、ピンの代わりにねじを使用することができるが、該ねじは、下側フランジ54がディフューザの溝内で滑動するのを防止するのに十分に締め付けられることがないように、該ねじ穴よりも長くなければならない。
懸架装置もしくは入口マニホールド側壁24を最初にディフューザ20に取り付けるプロセスは次の通りである。全てのピンをディフューザから除去した状態で、入口マニホールド側壁のセグメントの1つは、その穴80,81がディフューザの対応する穴に整列されるように、ディフューザの対応する溝21内に位置決めされる。次に、ピン82は、側壁セグメントの穴80,81を通過すように挿入されると共に、ディフューザにある穴に圧入される。この時点で、第1の側壁セグメントは、ピンにより拘束されるので、それがディフューザの溝から完全に取り外されることはできない。この組立プロセスは、他の側壁セグメント24の各々について繰り返される。
図7は、望ましい程度がもう少し低い代替設計を示しており、この設計において、ディフューザは円周溝を採用していないが、入口マニホールド側壁24の下側フランジ54に載置される周方向リップ84を有するに過ぎない。図5の実施形態のように、下側フランジは、ディフューザに圧入もしくは螺入され下側フランジにある穴80,81に係合する複数のピンもしくはねじ82により、ディフューザに取り付けられるので、図6に示された特徴は同じのままである。先行の実施形態に対する図7の実施形態の欠点の1つは、下側フランジ54に載置するディフューザリップ84が入口マニホールドからのガスの漏洩を禁ずる良好なシールを形成していないことである。別の欠点は、下側フランジが下向きに曲がる傾向があり、そのため、下側フランジ及びディフューザ間のRF電気接触が劣化すると共に、恐らく下側フランジに割れもしくは破断を生じさせることである。
図6は、内側マニホールド側壁24の4つのセグメントの各々の下側フランジ54を示している。各フランジ54において、真中の3つの穴80は円形であり、残りの穴81は細長い。細長い穴81の各々は、互いに垂直な短軸(副軸)及び長軸(主軸)を有している。各細長い穴81の短軸は、フランジ54が挿入されるディフューザの溝21の長軸と平行である。(穴80,81の形状をもっと明確に例示するため、図6は、各下側フランジの長さに対して各穴の大きさ及び各下側フランジ54の幅を誇張している。)
内側マニホールド側壁24の各セグメントは、各細長い穴81の長軸の幅と、この穴に嵌るピン82の、この長軸に平行な、太さとの間の差として定義される“滑動距離”と同じ程度に、ディフューザの溝21の長軸に沿って滑動しうるであろう。普通、各細長い穴の長軸は十分に大きいはずであるから、この滑動距離は、チャンバの作動中における温度勾配に対応する側壁セグメントの膨張とディフューザの膨張との間の最大予想差よりも大きい。
可撓性の懸架装置を膨張ゼロの冷たいままにしておきながら加熱及び熱膨張を受けるディフューザの仮想的な最悪ケースのシナリオに適応するのに必要な大きさに細長い穴をしたければ、各細長い穴の滑動距離は、ディフューザの各辺がディフューザの中心にある固定点に対して膨張する量とすべきである。約300℃まで加熱されたときに、ディフューザの各辺がその全幅の0.5%だけ中心に対して膨張するという仮定を使用すれば、各細長い穴の滑動距離はディフューザの幅の0.5%のはずである。従って、各細長い穴の長軸は、この量+ピンの太さもしくは直径でなければならない。
テストされた発明の試作品の一例は105cm×125cmのディフューザであった。上述したように、この大きさのディフューザの各辺の最大熱膨張は、約0.5%=6mm=0.24インチである。従って、熱いディフューザ及び冷たい懸架装置という最悪の場合に適応するため、各穴の滑動距離は0.24インチであるべきである、即ち、各細長い穴の長軸はピンの直径を0.24インチだけ超えていなければならない。
幸いにも、この仮想的な最悪ケースのシナリオは、ディフューザが懸架装置の隣接部分を加熱又は冷却することなく加熱又は冷却されることがないので、実際上、起こらないであろう。プラズマチャンバの作動中、ディフューザ及び側壁は、側壁の下端部がディフューザと殆ど同じ温度に留まるのに十分に徐々に加熱及び冷却される。上述したように、実際に起こる最大温度差は、事実上、保守要員がチャンバの冷えるのを待つことなくチャンバ蓋体を開くときである。そのような状況においてさえ、ディフューザと側壁シート24の下端部との間の温度差は50℃に過ぎないであろうと予測される。従って、ディフューザと側壁の下端部との間の熱膨張の差は、ディフューザの全熱膨張よりも相当に小さいであろう。
細長い穴が吸収すべき膨張差の量を測定するために、通常のプラズマCVDチャンバにおいて、ディフューザ幅が105cm×125cmであり、各ピン82が0.099インチ(即ち、ほぼ0.10インチ)の直径を有し、各細長い穴81が0.11インチの短軸及び0.19インチの長軸を有する図1〜図6のガス入口マニホールド設計の試作品についてテストした。従って、長軸に沿う滑動距離は0.19インチ−0.10インチ=0.09インチであった。プラズマチャンバは、数回の加熱及び冷却サイクルで作動され、そしてチャンバ蓋体は、チャンバが未だ熱い間に数回開けられた。その後、ガス入口マニホールドをチャンバから取り出し、側壁の下側フランジ54を検査した。各細長い穴81の縁にある若干の摩耗傷は、穴内でピン82が滑動した距離を示した。予想した通り、中心から最も遠い穴は最も長い滑動距離を証明したが、観察された距離は約0.03〜0.04インチに過ぎなかった。これは、穴の長軸により可能とされる0.09インチの最大滑動距離よりも相当に小さい。従って、細長い穴は、実際に観察したものよりも2乃至3倍も大きい熱膨張差に適応する相当な安全余裕を提供すると考えられる。
逆に言えば、0.04インチ=1mmに過ぎない観察滑動距離はディフューザの幅の0.1%未満である。従って、長軸がピンの対応する幅を少なくとも0.03インチ乃至0.04インチ超えている、或いはより一般的には、ディフューザの幅の少なくとも0.1%だけ超えている細長い穴を使用して、熱膨張差に適応することが可能のはずである。長軸を必要以上に大きくすることによる主な欠点は、より大きな穴が下側フランジ54を弱体化させ、それが割れる危険を増大するようにすることである。
各細長い穴81の短軸は、この軸に平行な嵌め合いピン82の太さを、このピンが穴内で動かなくなるのを防止するのに足る非常に僅かな量だけ超えることを必要とするに過ぎないので、下側フランジ54は、動かなくなることなく長軸に沿って自由に滑動するであろう。寸法におけるこの若干の差は、先行する段落において論じた長軸に沿う滑動距離よりも実質的に小さくすることができる。例示した好適な実施形態において、各細長い穴81の短軸は0.110インチであり、これは0.011インチだけ各ピン82の0.099インチの直径を超えている。
この発明は、可撓性の懸架装置24の下側フランジ54にある穴の全てが上述したように細長ければ作動するであろう。しかしながら、下側フランジ全体がディフューザの溝内を滑動する必要はない。熱膨張差及び熱収縮差は、下側フランジが1ヶ所でディフューザに固定されていれば、好都合にも吸収されることができるので、下側フランジの残部は、下側フランジ及びディフューザが膨張及び収縮するときに、固定ヶ所に関して滑動するのを許容される。従って、この好適な実施形態において、各下側フランジ54の中心に最も近い3つの穴80は、細長いというよりも円形である。各円形穴80の直径は、細長い穴81の短軸と同一、即ち、0.110インチである。動かなくなることからの解放はこれらの固定ヶ所については必要とされないので、円形穴80は、それらの対応するピン82の直径ほどの小ささとすることができる。
代案として、懸架装置24の各セグメントの下側フランジ54は、1ヶ所で、好ましくは下側フランジ54の中心近くでディスプレイに溶接又はその他の手段で取り付けることができ、この場合、中央の円形穴80及びそれらの対応するピン82は完全に省略することができる。
小さな円形穴80を使用することにより、或いは直ぐ上に述べたように溶接により、下側フランジ54の滑動をその中心近くで最小にすることの利点は、それが下側フランジをディフューザに対して中心に置かれた状態に維持することにある。試作品のチャンバにおいて、入口マニホールドの周りの間隙は非常に密であるので、正確な心出しが重要である。この利点はまた、各下側フランジに3つ設ける代わりに唯一つの円形穴80を使用しても達成することができる。3つの円形穴は、穴の1つが不注意で損傷したとしても正確な心出しを確実にするために好適な実施形態において使用された。
穴80,81は、好適な実施形態において3.2インチだけ離間している。しかし、穴間のこの間隔は重要ではなく、広範囲の間隔が良好に作動すると考えられる。
側壁の上側フランジ26がボルト72により入口マニホールド頂壁もしくは後壁28に直接に装着されている(例えば図5及び8の)実施形態において、上側フランジ26及び後板28間の熱膨張差により生じることがある応力の発生を防止することが好ましい。従って、装着ボルト72が貫通する上側フランジ26にある穴は、下側フランジ54の円形及び細長い穴80,81と同一のパターンで加工されるべきである。
可撓性懸架装置のための隅部シール
好適な実施形態は、4つの別個のセグメントもしくは面として可撓性の懸架装置もしくは入口マニホールド側壁を実現しているので、側壁の2つの隣接するセグメントは、ディフューザの4つの隅部の各々近くで合さるであろう。過剰のプロセスガスが入口マニホールドから漏れて接合体のところでチャンバに入らないように、隣接する側壁セグメント24の縁と縁の間の接合体もしくはシールが各隅部に設けられるべきである。ディフューザの熱膨張に適応するというこの可撓性入口マニホールド側壁の利点を維持するために、接合体は、ディフューザが膨張及び収縮するときの入口マニホールド側壁の曲げに適応すべきである。
図2、図3及び図9は、ディフューザの4つの隅部の、各々にある好適な接合体を示している。4つの側壁セグメント24の、各々の両端部60は、所定隅部で2つの隣接側壁セグメント24のそれぞれ端部が同一平面にあるように、45°の角度で内向きに曲げられている。隣接する端部60間の適度にガス蜜のシールは、2つの端部60に嵌るスロット付き結合具62,64(代わりに、スロット付きカバー又はスロット付き封止部材とも呼ばれる)により行われる。この結合具は、2枚のアルミニウムシート片を垂直な中央継ぎ目に沿って溶接すると共に、1つの結合具片62を曲げてそれと他の結合具片64との間にスロットを形成するようにして製作される。スロット付き結合具は、その結合具の継ぎ目が2つの端部60間の間隙のほぼ中心に置かれるように、且つ各端部60が結合具の2つのスロットの対応する1つにぴったり嵌るように、同結合具を2つの端部60に嵌めることによって据え付けられる。スロットは、十分なフィット性で端部60の周りに嵌合する大きさに作られているので、それは、入口マニホールドからチャンバへのガス漏れの量を、穿孔22を通る意図したガス流量のほんの少しに過ぎないように許容する。それにもかかわらず、スロットは、ディフューザが膨張及び収縮するときの端部60の径方向運動を許容するのに十分な大きさに作られている。
図10及び図11は、金属シート66の単一矩形片からなるスロット付きカバーもしくは結合具の代替設計を示している。結合具66の2つの半部分間に細い橋状部68のみが残るように、1対の矩形切欠きが図10に示すように切り取られている。結合具66は、図11に示すようにこの橋状部で半分に折り畳まれる。橋状部68の幅Wは十分に狭いので、隅部で合わさる2つの入口マニホールド側壁の2つの端部60の間を滑動する。スロット付き結合具66は、同結合具66を2つの端部60に嵌めることにより前に記載した結合具62,64と同じ方法で据え付けられる。橋状部68の長さLは、結合具66が図11に示すように折り畳まれたときのその2つの半部分間の間隙を決定する。この間隙は、入口マニホールド側壁がディフューザの膨張及び収縮に応じて曲がるときに端部60の運動を許容するのに十分な大きさであるべきであるが、スロット付き結合具66の2つの半部分が端部60の周りに具合良く嵌って先行の段落に記載のようにガス漏れを最小にするように十分に小さくなければならない。
この好適な実施形態は、ガス入口マニホールドの4つの隅部の各々に、図2、図3、図8及び図9に示すように三角形の横断面を有する隅部支持柱58を含んでいる。この隅部支持柱は、図8及び図9に示すように、ディフューザ20にボルト留めされるのが好ましいが、代案として、それは入口マニホールドの後壁28にボルト留めされることができる。隅部支持柱は、ディフューザが膨張及び収縮するときのスロット付き結合具の動きを邪魔しないように、スロット付き結合具もしくはシール62,64から外側に離間していなければならない。
4つの隅部支持柱58は2つの機能を果す。第1の機能は、ガス入口マニホールドの隅部を通るガスの漏れを妨げることである。この機能は、支持柱のリップもしくは翼状部59により遂行される。各リップもしくは翼状部59は、隅部支持柱の横方向延長部であり、これは、入口マニホールド側壁24の隣接セグメントと隣接スロット付き結合具62〜66との間の境界面を横断して延びて、同境界面を通るガス漏れに対する実質的なインピーダンスを提供するのに十分な長さだけその側壁セグメント24とオーバーラップするようになっている。オーバーラップの長さを増すとインピーダンスが増す利点がある。好適な実施形態において、0.28インチのオーバーラップは漏れに対する十分なインピーダンスを与えた。0.1インチ又はそれ以上のオーバーラップは十分であると考えられる。製作方法はその作動にとって重要ではないが、アルミニウムのブロックを機械加工することにより翼状部を含む各隅部支持柱を単体部品として製作した。
隅部支持柱58が可撓性の懸架装置もしくは側壁24とディフューザ20との間の相対運動を邪魔するのを防ぐために、各隅部支持柱は、側壁の高さよりも若干短くするべきであり、また、隣接するスロット付き結合具62〜66から半径方向外方に十分な間隙だけ離間していて、可撓性の側壁が最大予想程度までディフューザに関して膨張するときに、それらが当接するのを防止するべきである。同様に、各リップもしくは翼状部59は、側壁24の隣接するセグメントから半径方向外方に十分な間隙だけ離間していて、それらが当接するのを防止している。好適な実施形態において、双方の間隙は、約0.010インチ〜0.015インチであり、各隅部支持柱は側壁よりも約0.005〜0.010インチ短かった。
4つの隅部支持柱58の第2の機能は、プラズマチャンバの作動ではなく、その保守のみに関連している。この第2の機能は、ガス入口マニホールドアセンブリ20〜32がプラズマチャンバの外部に保管されているとき、例えば、このマニホールドアセンブリが予備品として保管されているとき、又はチャンバの保守を可能とするためそれがプラズマチャンバから取り出されたときに、薄い側壁24がつぶれるのを防止することである。
代案として、入口マニホールド24の隅部におけるガス漏れは翼状部がなくても十分に微小であるから、翼状部59は、4つの隅部支持柱58から省略することができる。更に、先行する段落に記載したような保管及び保守の便利さが重要でなければ、隅部支持柱は完全に省略することができる。
図16に示した代替設計において、4つの隅部カバーもしくは結合具60〜66及び4つの隅部支持柱58は、可撓性の側壁24の4つのセグメントの各々を単に延長して、それらがディフューザの4つの隅部のところで接触するようにすることにより、省略することができる。この簡略化した設計は、隅部においてもっと多いプロセスガスの漏れを引き起こすが、多くの適用例において、漏れの量は非常に僅かであって加工物に対して行われているプラズマプロセスには実質的に影響しないと言ってさしつかえない。
シリコンウェーハのような円形加工物14を処理することが企図されているチャンバにおいて、ディフューザ20は、先行の実施例のように矩形であるよりは、横断面を好ましくは円形にすべきである。その場合、ガス入口マニホールドの可撓性懸架装置もしくは側壁24は、環状の形をもつ切れ目のない単一片とすることができる。或いは、懸架装置の可撓性は、前に論じた実施形態における矩形側壁の4つのセグメントと同様に、それを軸方向に延びる小さな間隙により分離された任意の数の軸方向に延びるセグメントに分割することによって、向上させることができる。
ディフューザの熱膨張は、直径200mmのシリコンウェーハを処理するため今日最も一般に使用されているチャンバにおいて深刻な問題ではないが、業界がより大きな直径のウェーハ、従って、より大きな直径のディフューザに移行するにつれて、熱膨張は、より重要になるであろう。従って、これは、本発明の重要な将来の適用例である。
ガス分配板の熱絶縁
プラズマチャンバ中で一般に行われる多くの半導体製造プロセスにおいて、基板14は高温に維持しておく必要がある。一般に、これは、基板支持ペデスタル12内に装着された電気ヒータにより行われる。温度は、基板に対して行われている製造プロセスの良好な空間的一様性を達成するために、基板の全露出表面(前面)の端から端まで空間的に一様でなければならない。
平面型パネルディスプレイを製造するために使用されるガラス基板に当てはまることであるが、基板が低熱伝導率を有するときに、基板表面温度の空間的一様性は、達成するのが難しい。典型的には、ペデスタルから基板の前面まで50℃〜75℃の温度降下がある。その結果、基板表面温度は、ペデスタル温度だけで決められず、チャンバ構成要素の近隣の温度により強く影響される。
典型的なプラズマチャンバにおいて、ディフューザもしくはガス分配板20は、(ペデスタル以外で)基板表面に断然最も近いチャンバ構成要素であるから、それは基板温度に対して群を抜いて大きな影響を有している。ディフューザの温度の高い空間的一様性を達成することは、基板表面温度の高い空間的一様性を達成するために重要である。
ディフューザの温度は、(a)プラズマからディフューザに伝達される熱及び加熱された基板からの黒体放射と、(b)ディフューザからチャンバ壁10に伝達される熱との間のバランスにより決定される。従来の設計において、ガス分配板の周囲が高い熱質量及び高い熱伝導率を有するチャンバ蓋体に直接にボルト留めされているので、ディフューザはその中心よりもその周囲で100℃低いため、蓋体もしくは側壁は、分配板の周囲から熱を運び去る熱シンクとして機能する。相対的に冷たいディフューザの周囲は基板表面の周囲の温度を低下させ、それにより基板表面の温度の空間的一様性を低下させる。
対照的に、この新規なガス入口マニホールドは、ガス分配板と、それが装着される、例えば、蓋体18及びチャンバ壁10のような他のチャンバ構成要素との間に熱抵抗をもたらすことにより、ガス分配板を熱的に絶縁することができる。この熱絶縁の1つの利点は、ディフューザの周囲からの熱損失を減少させ、それによりディフューザの中心及び周囲間の温度差を減少させることである。
この発明により提供される熱絶縁の別の利点は、ディフューザもしくはガス分配板20が従来の設計よりも高い温度で作動するのを可能とすることである。より高い温度のディフューザ20は、基板からの熱損失を減少させ、それにより基板表面及び基板支持ペデスタルの間の温度差を減少させる。その結果、あるペデスタル温度に対して、半導体製造プロセスは、もっと高い基板表面温度で行うことができ、或いは逆に言えば、プロセスに必要なある基板表面温度に対して、ペデスタルはもっと低い温度で作動することができ、これはペデスタルの寿命を延長することができる。
また、チャンバの内部から残留物を清浄化するために従来の原位置(in suit)プラズマプロセスの使用が望まれる場合、ガス分配板の温度が上がると、ガス分配板の清浄化が促進される。
ガス分配板20の所望の熱絶縁を達成するために、この入口マニホールド側壁24(又はその一部分)は、十分に薄く且つ十分な長さもしくは高さを有しているので、側壁24(又はそのような一部分)の熱抵抗は、ガス分配板と、それが装着されるチャンバ構成要素、即ち、入口マニホールド頂壁又は後壁28、チャンネル蓋体18、チャンバ側壁10及びO−リング45〜47との間に相当な温度差をもたらすのに十分な大きさである。長さ又は高さにより意味されるのは、ガス分配板の面に垂直な方向に沿う寸法である。成功裡にテストされた図1の実施形態において、入口マニホールド側壁は、1mmの厚さ及び5cmの高さを有するアルミニウムシートである。
プラズマCVDプロセスを実行している間のガス分配板20の好適な温度は少なくとも200℃、好ましくは250℃〜400℃、最も好ましくは300℃〜325℃である。入口マニホールド側壁24は、外側チャンバ構成要素が100℃〜140℃を超えない限り、ガス分配板がそのような温度に達するのを可能にするのに十分な熱抵抗を有している。チャンバ壁10、蓋体18、及び入口マニホールド頂壁又は後壁28は、O−リング45〜48を十分に低い温度に維持する熱シンクとして機能すると考えることができる。
温度が、プラズマ処理中にガス分配板20において300℃であり、そして入口マニホールド後壁28及びO−リング45〜48において140℃であれば、入口マニホールド側壁24の全域における温度差は約160℃である。この発明は、プラズマ処理中にチャンバ構成要素がそれらの通常作動温度に達した後にかかる温度差が少なくとも100℃であるように、側壁の厚さ及び高さを好ましくは十分にそれぞれ小さく及び大きくすべきであろうと考えている。
図1〜図11の懸架式入口マニホールド設計を使用したプラズマチャンバと、ディフューザ又はガス分配板20が入口マニホールド頂壁もしくは後壁28に直接にボルト留めされている、他の点では同様の従来のチャンバとを比較した。双方のチャンバにおいて、基板支持ペデスタル内の電気ヒータは、ペデスタルを400℃に維持した。入口マニホールドの頂壁もしくは後壁28、チャンバ蓋体18、及びチャンバ壁10は、85℃に維持した水により冷却した。従来のチャンバにおいて、ディフューザ温度は、それぞれ中心及び周囲で250℃〜150℃であり、100℃の空間的変動があった。この発明による懸架式入口マニホールドを採用したチャンバにおいて、ディフューザ温度は、それぞれ中心及び周囲で325℃〜315℃であり、空間的変動は10℃に過ぎなかった。従って、この発明はディフューザ温度の空間的一様性を10分の1に向上させた。
(10℃に過ぎない空間的変動を達成したが、懸架式入口マニホールドは、その熱的インピーダンスが50℃しかない或いは好ましくは20℃しかない空間的変動を達成するのに十分であれば、この発明の範囲内であろう。)
更に、基板表面の中心における表面温度は、従来のチャンバにおいて、加熱されたペデスタルよりも70℃低かったが、この発明を利用するチャンバにおいて、ペデスタルよりも25℃低いだけであった。従って、この発明は、あるペデスタル温度に対して45℃高い基板表面温度を達成した、逆に言えば、この発明は、所定の基板表面温度を達成するためにペデスタルが45℃低い温度で作動することを可能にした。
(加熱されたペデスタルと基板表面の中心との間に25℃に過ぎない温度差を実現したが、懸架式入口マニホールドは、その熱的インピーダンスが50℃しかない或いは好ましくは35℃しかないかかる差を達成するのに十分であれば、この発明の範囲内であろう。)
ディフューザとガス入口マニホールドとの間の熱絶縁は、側壁の熱抵抗を更に上昇させることにより完全にゼロまで減少させることはできない。側壁を通る熱伝導に加えて、熱は、ディフューザから後壁への放射によって伝達されるであろう。側壁の熱抵抗が十分に高くて伝導による熱伝達が放射による熱伝達よりもずっと小さければ、放射による熱伝達が主体になるであろうから、熱抵抗の更なる増加は殆ど利点をもたらさないであろう。
チャンバ内部と外部雰囲気との間に信頼性のある真空シールを確保するため、O−リング45〜48を過大な温度から守ることが重要である。低コストのO−リング(例えば、ヴァイトン(Viton)エラストマーから構成される)は250℃以下と製造者により定められており、そして専門家によると、かかるO−リングはその信頼性を最大にするために100℃以下に維持すべきであると考えられている。
O−リング46及び48は、チャンバ蓋体18に直接に接触しており、そしてO−リング47は、ガス入口マニホールドの後壁28に直接に接触しており、これらのO−リングの温度は、蓋体及び後壁の各温度とほぼ同じであると予想される。第1の実施形態において、O−リング45は後壁に直接に接触しているが、第2の実施形態(図12〜図14)においては、O−リング45は、懸架装置24の補強フランジ70に直接に接触している。補強フランジは後壁に対して良好な熱接触関係で装着されるのが好ましいので、この実施形態のO−リング45は他のO−リングよりも若干高温なだけであると考えられる。
周囲雰囲気に単純にさらすことは、蓋体18及びチャンバ壁10を100℃〜140℃の温度に維持するのに十分であることが分かった。入口マニホールド側壁28は、チャンバ内のプラズマからの熱放射に直接さらされていないので、概ねより低温である。従って、O−リング45〜48の温度は140℃を超えないと考えられる。この温度は十分に低いので水冷のような付加的な冷却が必要であるとは思われない。
しかし、随意であるが、チャンバ側壁10は、それを図示しない水ジャケットで囲み、そこに冷却水をポンプで通すことにより更に冷却することができる。同様に、カバー16、蓋体18及び入口マニホールド後壁28は、カバー16の下方で入口マニホールド後壁28の上側表面に装着された封止済みの水ジャケット(図示せず)の同じ水をポンプで通すことにより冷却することができる。このような水冷は、O−リング45〜48の温度が100℃を超えるのを防止することができる。
ガス入口マニホールドの頂壁もしくは後壁28はRFで動力供給されるので、水ジャケット及び後壁の間に誘電体が間挿されるべきである。水ジャケット及び後壁の間の温度差を大きくすることが望まれる場合、より厚い誘電体を選択しなければならない。これは、後壁を100℃を超える温度のような水の温度よりも実質的に高い温度に維持することが望まれる適用例において有用であるかも知れない。後壁をこのような高温に維持することは、ガス分配板の温度を上げるのに役立ち、これは次の段落において説明する理由により有利でありうる。
可撓性の懸架装置無しの熱絶縁
この特許明細書の先行の段落は、ディフューザもしくはガス分配板20と、このディフューザが取り付けられるチャンバ構成要素との間の熱絶縁の利点について記載している。上述のように、かかる熱絶縁は、入口マニホールドの側壁24がディフューザと側壁24の上端部が装着されるチャンバ構成要素との間に相当な熱的インピーダンスを介入させるのに十分な厚さ及び高さを有していれば、達成される。
更に、入口マニホールド側壁24は、同様に上述したように、ディフューザ及び側壁間の熱膨張差によるディフューザの応力を避けるために、可撓性であることが好ましい。この可撓性は、好ましいのではあるが、入口マニホールド側壁の熱絶縁の利点を達成するのに必須ではない。例えば、熱絶縁を更に向上させるために、前に説明した実施形態において使用されたアルミニウムよりもっと低い熱伝導率を有する材料で側壁24を製作するのが望ましいかも知れない。かかる材料には可撓性とするには余りにも堅い又は脆弱なものがありうる。
側壁24が可撓性でなければ、ディフューザ及び側壁間の熱膨張差によるディフューザの機械的応力を避けるために、他の何らかの手段が使用されるべきである。図17に示された1つの解決策は、先行の実施形態における側壁の可撓性によりもたらされたディフューザと側壁との間の差動運動を可能にするように下側フランジ54にある穴80,81を拡大することである。特に、可撓性側壁の実施形態において細長かった穴81は、側壁が非可撓性である場合には円形とすべきであり、その理由は、ディフューザがその平面において直交方向の双方に熱膨張するからである。
各円形穴81の直径は、先行の実施形態における対応する細長い穴の長軸程度まで少なくとも大きくするべきである。特に、対応する穴81における各ピン82の滑動距離は、チャンバの作動中の温度勾配に呼応する側壁セグメントの膨張とディフューザの膨張との間の最大予想差と等しいか或いは同じにすべきである。穴81の直径は、このような滑動距離+ピン82の直径とすべきである。
好ましくは、各下側フランジ54の中央にある穴80は、下側フランジが側壁24に垂直な方向に動くのを許容するが、下側フランジがその長手に平行な方向に動くのを防止することによりディフューザのセンタリングを維持するのに必要な大きさにされるべきである。これは、穴80が細長ければ、図15に示すように、それらの短軸及び長軸をそれぞれ下側フランジの長手に垂直及び平行な状態にして、行うことができる。中央に位置した各穴80の長軸は、論じたばかりの円形穴81の直径と同一の基準に従って必要な大きさにされるべきである。
各細長い穴80の短軸は、嵌るピン82が穴の中で動かなくなるのを防止するのに十分な若干量だけそのピンの直径を超えている必要があるだけなので、下側フランジ54は動かなくなることなく長軸に沿って滑動するのが自由であろう。寸法のこの若干の差は、先行の段落で論じた長軸に沿う滑動距離よりも実質的に小さくすることができる。例えば、各ピン82が0.1インチの直径を有していれば、各細長い穴81の短軸は0.11インチとすることができる。
本発明のガス入口マニホールドを含むプラズマチャンバの部分概略側断面図である。 ガス入口マニホールドの隅部の部分分解斜視図である。 ガス入口マニホールドの隅部支持体の横断面図である。 側壁がディフューザに剛に取り付けられてガス入口マニホールドの一実施形態の一側部の垂直断面図である。 側壁がディフューザにある溝内を滑動できるより好適なガス入口マニホールドの一側部の垂直断面図である。 ディフューザの熱膨張に適応するため細長い穴を有する入口マニホールド側壁の下側フランジの平面図である。 ディフューザが何ら周方向溝を有していない別のガス入口マニホールドの一側部の垂直断面図である。 ガス入口マニホールドの隅部の垂直断面図である。 図2に示された隅部の分解図である。 折り畳まれる前の別の隅部接合体もしくは結合具の平面図である。 図10の別の結合具を有する隅部の分解図である。 可撓性側壁の上側フランジの一部が大気圧にさらされているガス入口マニホールドを有する代替実施形態の、図4に類似する図である。 図12の詳細である。 図12の代替実施形態の、図2に類似する図である。 ガス入口マニホールドの側壁の上側フランジに直結された電気ケーブルを示す、図13に類似する図である。 可撓性側壁が隅部で接していて隅部の結合具が省略されている別のガス入口マニホールドの隅部の部分分解斜視図である。 ディフューザの熱膨張に適応するため大きくされた穴を有する入口マニホールド側壁の下側フランジの平面図である。
符号の説明
10…壁、12…サセプタまたはペデスタル、14…基板、16…カバー、18…蓋体、20…ディフューザまたはガス分配板、22…オリフィス、24…懸架装置または側壁、26…上側フランジ、28…入口マニホールド後壁、30…オリフィス、32…ガス入口マニホールド、34、35、36…誘電スペーサ、37…補強バー、38…誘電ライナ、40…、42…排気スリット、44…排気プレナム、45−48…O−リング、54…下側フランジ、56…溶接ビード、58…隅部支持柱、59…翼状部、60…端部、62、64…結合具片、66…金属シート、68…橋状部、70…補強フランジ、72…ボルト、80、81…穴、82…ピンまたはネジ、84…リップ。

Claims (20)

  1. プラズマチャンバのガス入口マニホールドであって、
    ガス入口オリフィスが穿孔された頂壁と、
    複数のガス出口オリフィスが穿孔されると共に、前記頂壁から離間し配置されているガス分配板と、
    1つ以上のセグメントを含む側壁であって、各側壁セグメントが上側フランジ及び下側フランジ間に延びる垂直に配向されたシートを含んでいる、前記側壁とを備え、
    各側壁セグメントの前記上側フランジは、前記ガス入口マニホールドの前記頂壁に装着されており、
    各側壁セグメントの前記下側フランジは、前記ガス分配板に装着されており、
    前記側壁は、前記頂壁及び前記ガス分配板の間に延びる前記ガス入口マニホールド内の領域を囲んでいて、前記ガス入口オリフィス及び前記ガス出口オリフィスが前記領域と流体の連通状態にあるようになっている、ガス入口マニホールド。
  2. 前記ガス入口マニホールドの前記頂壁は、4つの側部をもつほぼ矩形の、前記ガス分配板に面する表面を有しており、
    前記ガス分配板は、4つの側部をもつほぼ矩形の、前記頂壁に面する表面を有しており、
    前記側壁は、4つの前記セグメントから構成されており、
    前記4つの側壁セグメントの各々の前記シートは、ほぼ矩形であると共に、前記頂壁の前記表面の前記4つの側部のうちの対応する1つと、前記ガス分配板の前記表面の前記4つの側部のうちの対応する1つとの間に延びている、請求項1に記載のガス入口マニホールド。
  3. 前記ガス分配板はその周辺に1つ以上の溝を有しており、
    前記側壁の各セグメントの前記下側フランジは前記溝の1つに延びて入っている、請求項1に記載のガス入口マニホールド。
  4. 前記ガス分配板は、更に、
    該ガス分配板の周囲から半径方向外方に延びるリップと、
    該ガス分配板の前記リップに取り付けられると共に、該リップから下方に延びる複数のピンとを備えており、
    前記側壁の各セグメントの前記下側フランジは、複数の穴が穿孔されており、
    各下側フランジは、前記ピンの各々が前記穴のうちの対応する1つを貫いて延びるように前記ガス分配板に装着されており、
    各穴は、各下側フランジと前記ガス分配板との間の相対運動を可能とするように、その対応するピンの太さを超える幅を有している、請求項1に記載のガス入口マニホールド。
  5. 各シートは、該シートに対して垂直な方向への前記下側フランジの動きを可能にするように可撓性であり、
    前記側壁の各セグメントについて、そのセグメントの下側フランジにある各穴がそのセグメントのシートに平行な長軸を有している、請求項4に記載のガス入口マニホールド。
  6. 前記穴の1つの軸に沿った各穴の幅は、該軸に沿ったその対応するピンの太さを、前記プラズマチャンバの作動中の前記下側フランジ及び前記ガス分配板の間の最大予想熱膨張差を超える量の各下側フランジ及び前記ガス分配板間の相対運動を許容するのに十分な量だけ、超えている、請求項4に記載のガス入口マニホールド。
  7. 各穴の1つの軸に沿った該穴の幅は、その対応するピンの太さを、前記軸に沿って、少なくとも0.03インチだけ超えている、請求項4に記載のガス入口マニホールド。
  8. 各穴の1つの軸に沿った該穴の幅は、その対応するピンの太さを、前記軸に沿って、前記ガス分配板の最大寸法の少なくとも0.1%だけ超えている、請求項4に記載のガス入口マニホールド。
  9. 前記1つ以上の側壁セグメントは、第1及び第2の側壁セグメントを含んでおり、
    前記第1の側壁セグメントのシート及び前記第2の側壁セグメントのシートは、前記ガス入口マニホールドの前記頂壁及び前記ガス分配板の間を垂直に延びる最大寸法を有する間隙により隔てられており、
    前記ガス入口マニホールドは、前記間隙の半径方向外方に設けられると共に、前記間隙を通るガスの流れを妨げるのに十分に前記間隙の近くに位置決めされた柱状体を更に備えている、請求項1に記載のガス入口マニホールド。
  10. 前記1つ以上の側壁セグメントは、第1及び第2の側壁セグメントを含んでおり、
    前記第1の側壁セグメントのシートは、第1の垂直方向頂点線(vertex line)に沿って第1の角度で曲げられていて、(i)前記シートの第1の端部領域が前記第1の頂点線と前記シートの縁との間に延びると共に、(ii)前記シートの第1の中央領域が前記第1の頂点線の反対側にあるようになっており、
    前記第2の側壁セグメントのシートは、第2の垂直方向頂点線に沿って第2の角度で曲げられていて、(i)前記シートの第2の端部領域が前記第2の頂点線と前記シートの縁との間に延びると共に、(ii)前記シートの第2の中央領域が前記第2の頂点線の反対側にあるようになっており、
    前記第1の側壁セグメントの前記シートの前記縁及び前記第2の側壁セグメントの前記シートの前記縁は、前記ガス入口マニホールドの前記頂壁及び前記ガス分配板の間を垂直に延びる最大寸法を有する間隙により隔てられると共に、平行であるように位置決めされており、
    前記第1の角度及び前記第2の角度は、前記第1の端部領域及び前記第2の端部領域が同一平面にあると共に、前記間隙によってのみ隔てられているようになっている、請求項1に記載のガス入口マニホールド。
  11. 前記第1の角度及び前記第2の角度は共に45度である、請求項10に記載のガス入口マニホールド。
  12. 前記間隙の半径方向外方に設けられた柱状体を更に備え、
    該柱状体は、前記間隙の全長に沿って垂直方向に延びており、
    該柱状体は、前記第1の端部領域、前記第2の端部領域、前記第1の頂点線に隣接する前記第1の中央領域の部分、及び前記第2の頂点線に隣接する前記第2の中央領域の部分の上から覆い被さるように横方向に延びており、
    前記柱状体は前記第1及び第2の領域の前記部分の十分に近くに位置決めされており、前記第1及び第2の領域の前記部分は十分に大きくて、前記柱状体が、前記入口マニホールド内のガスが前記間隙を通り流れるのを邪魔するようになっている、請求項10に記載のガス入口マニホールド。
  13. プラズマチャンバであって、
    チャンバ壁と、
    該チャンバ壁に取り付けられる入口マニホールド頂壁であって、入口マニホールドはガス入口オリフィスが穿孔されている、前記入口マニホールド頂壁と、
    複数のガス出口オリフィスが穿孔されているガス分配板であって、該ガス分配板は、前記プラズマチャンバ内に位置決めされると共に、前記入口マニホールド頂壁から離間して配置されている、前記ガス分配板と、
    1つ以上のセグメントを含む入口マニホールド側壁であって、各側壁セグメントは、上側フランジ及び下側フランジ間に延びる垂直に配向されたシートを含んでいる、前記入口マニホールド側壁とを備え、
    各側壁セグメントの前記上側フランジは、前記入口マニホールドの前記頂壁に装着されており、
    各側壁セグメントの前記下側フランジは、前記ガス分配板に装着されており、
    前記側壁は、前記頂壁及び前記ガス分配板の間に延びる、前記ガス入口マニホールド内の領域を囲んでいて、前記ガス入口オリフィス及び前記ガス出口オリフィスが前記領域と流体の連通状態になっており、
    前記入口マニホールド側壁は、前記プラズマチャンバの作動中に、前記ガス分配板が50℃よりも高くない温度の空間的変動を有するように、前記チャンバ壁及びガス分配板の間に十分に高い熱抵抗を介在させるようになっている、プラズマチャンバ。
  14. 前記温度の空間的変動は10℃よりも大きくない、請求項13に記載のプラズマチャンバ。
  15. 基板を処理するためのプラズマチャンバであって、
    上面を有し、その上に基板を支持することができる加熱ペデスタルと、
    チャンバ壁と、
    該チャンバ壁に取り付けられる入口マニホールド頂壁であって、入口マニホールドはガス入口オリフィスが穿孔されている、前記入口マニホールド頂壁と、
    複数のガス出口オリフィスが穿孔されているガス分配板であって、該ガス分配板は、前記プラズマチャンバ内に位置決めされると共に、前記入口マニホールド頂壁から離間して配置されている、前記ガス分配板と、
    1つ以上のセグメントを含む入口マニホールド側壁であって、各側壁セグメントは、上側フランジ及び下側フランジ間に延びる垂直向きのシートを含んでいる、前記入口マニホールド側壁とを備え、
    各側壁セグメントの前記上側フランジは、前記入口マニホールドの前記頂壁に装着されており、
    各側壁セグメントの前記下側フランジは、前記ガス分配板に装着されており、
    前記側壁は、前記頂壁及び前記ガス分配板の間に延びる、前記ガス入口マニホールド内の領域を囲んでいて、前記ガス入口オリフィス及び前記ガス出口オリフィスが前記領域と流体連通するようになっており、
    前記入口マニホールド側壁は、前記基板を前記ペデスタル上に支持した状態の前記プラズマチャンバの作動中に、前記ペデスタルと前記基板の上側表面との間の温度差が50℃よりも大きくないように、前記チャンバ壁及びガス分配板の間に十分に高い熱抵抗を介在させるようになっている、プラズマチャンバ。
  16. 前記温度差は25℃よりも大きくない、請求項15に記載のプラズマチャンバ。
  17. プラズマチャンバの内部にガスを投与するガス分配板に対する熱応力を最小にする方法であって、
    チャンバ壁により囲まれた内部を有するプラズマチャンバを用意するステップと、
    該チャンバ内に入口マニホールド頂壁を装着するステップと、
    1つ以上のセグメントを有する入口マニホールド側壁であって、各側壁セグメントが上側フランジ及び下側フランジ間に延びる垂直に配向されたシートを含んでいる、前記入口マニホールド側壁を用意するステップと、
    該入口マニホールド側壁の前記セグメントが共同して前記プラズマチャンバ内の入口マニホールド領域を囲むように該入口マニホールド側壁の前記セグメントを位置決めするよう、前記入口マニホールド側壁の各セグメントの前記上側フランジを前記入口マニホールド頂壁に装着するステップと、
    前記入口マニホールド側壁の前記下側フランジを複数のガス出口オリフィスが穿孔されたガス分配板に装着するステップであって、前記入口マニホールド頂壁、前記入口マニホールド側壁及び前記ガス分配板が共同して前記入口マニホールド領域を囲む、前記ステップと、
    前記入口マニホールド後壁にある開口に通してガスを供給するステップであって、該ガスを前記入口マニホールド領域に流入させ、次いで前記ガス出口オリフィスを通流して前記プラズマチャンバの前記内部に流入させる、前記ステップと、
    を含む、前記方法。
  18. 前記プラズマチャンバの前記内部中にプラズマを維持するステップを更に含み、
    前記入口マニホールド側壁を用意するステップは、前記プラズマから伝達される熱に応じて前記入口マニホールド後壁及び前記ガス分配板の間に相当な熱膨張差を生じさせるように、各シートに、十分に小さい厚さ及び十分に大きな軸方向高さを与えるステップを含んでいる、請求項17に記載の方法。
  19. 前記温度差は少なくとも100℃である、請求項18に記載の方法。
  20. 前記入口マニホールド側壁を用意するステップは、前記入口マニホールド側壁の少なくとも1つの可撓性部分に、前記ガス分配板が少なくとも1%だけ膨張するのを許容するのに十分な量だけ前記入口マニホールド側壁を曲げるのに何ら実質的な力が必要ないように、十分な可撓性を与えるステップを含んでいる、請求項17に記載の方法。
JP2003520254A 2001-08-03 2002-08-02 プラズマチャンバの懸架式ガス分配マニホールド Expired - Fee Related JP4294478B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/922,219 US6772827B2 (en) 2000-01-20 2001-08-03 Suspended gas distribution manifold for plasma chamber
PCT/US2002/024467 WO2003015481A2 (en) 2001-08-03 2002-08-02 Suspended gas distribution manifold for plasma chamber

Publications (2)

Publication Number Publication Date
JP2005526375A true JP2005526375A (ja) 2005-09-02
JP4294478B2 JP4294478B2 (ja) 2009-07-15

Family

ID=25446716

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003520254A Expired - Fee Related JP4294478B2 (ja) 2001-08-03 2002-08-02 プラズマチャンバの懸架式ガス分配マニホールド

Country Status (7)

Country Link
US (2) US6772827B2 (ja)
EP (1) EP1421599A2 (ja)
JP (1) JP4294478B2 (ja)
KR (1) KR100929455B1 (ja)
CN (1) CN1327475C (ja)
TW (1) TW552829B (ja)
WO (1) WO2003015481A2 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101150722B1 (ko) 2010-01-07 2012-06-08 주식회사 테스 기판 처리 장치
JP2013519791A (ja) * 2010-02-15 2013-05-30 アプライド マテリアルズ インコーポレイテッド 耐アーク放電ゼロフィールドプレート
KR20150109463A (ko) * 2013-01-25 2015-10-01 어플라이드 머티어리얼스, 인코포레이티드 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
JP2016512575A (ja) * 2013-03-11 2016-04-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温処理チャンバリッド
KR20170084159A (ko) * 2014-11-20 2017-07-19 아익스트론 에스이 대면적 기판들을 코팅하기 위한 cvd- 또는 pvd-반응기
CN109155250A (zh) * 2016-05-19 2019-01-04 应用材料公司 用于改良的半导体蚀刻及部件保护的系统与方法
JP2019173128A (ja) * 2018-03-29 2019-10-10 株式会社アルバック 真空処理装置
KR20200054664A (ko) * 2018-11-12 2020-05-20 주식회사 원익아이피에스 샤워헤드조립체 및 그를 가지는 기판처리장치
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection

Families Citing this family (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
KR100776843B1 (ko) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 성막 장치 및 Ti막 성막 장치
US7115184B2 (en) * 2001-03-28 2006-10-03 Tadahiro Ohmi Plasma processing device
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
JP2003342739A (ja) * 2002-05-23 2003-12-03 Sony Corp プラズマ化学的気相成長装置
JP2005536042A (ja) * 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JPWO2005045913A1 (ja) * 2003-11-05 2007-05-24 大見 忠弘 プラズマ処理装置
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
DE102004029466A1 (de) * 2004-06-18 2006-01-05 Leybold Optics Gmbh Medieninjektor
CN101871099B (zh) * 2004-07-12 2013-09-25 应用材料公司 通过气体分散器弯曲性的等离子体均匀度控制
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7726953B2 (en) * 2005-08-30 2010-06-01 United Microelectronics Corp. Pump ring
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20070254112A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
KR100776258B1 (ko) * 2006-09-11 2007-11-15 삼성전자주식회사 플라즈마 발생장치
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8296385B2 (en) * 2007-04-23 2012-10-23 Lenovo (Singapore) Pte. Ltd. Apparatus and method for selective engagement in software distribution
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
CN201442977U (zh) * 2007-09-27 2010-04-28 应用材料股份有限公司 用于放置在pecvd腔中的背板的夹钳机械装置
JP5308679B2 (ja) * 2008-01-22 2013-10-09 東京エレクトロン株式会社 シール機構、シール溝、シール部材及び基板処理装置
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
KR101632271B1 (ko) * 2008-04-12 2016-06-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 처리 장치 및 방법
EP2283510B1 (en) * 2008-05-02 2013-01-23 Oerlikon Solar AG, Trübbach Plasma treatment apparatus and method for plasma-assisted treatment of substrates
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
EP2356672B1 (en) * 2008-12-04 2018-09-12 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements
US8992723B2 (en) * 2009-02-13 2015-03-31 Applied Material, Inc. RF bus and RF return bus for plasma chamber electrode
US8931431B2 (en) 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
CN102460649B (zh) * 2009-05-13 2015-03-11 应用材料公司 经阳极处理的喷头
KR200457989Y1 (ko) * 2009-08-31 2012-01-16 이성윤 촛불행사용 초 받침
KR200476124Y1 (ko) * 2009-09-29 2015-01-30 어플라이드 머티어리얼스, 인코포레이티드 Rf­전력공급 샤워헤드를 위한 편심 접지 복귀
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110089225A1 (en) * 2009-10-15 2011-04-21 Pcc Structurals Inc. Low Turbulence Argon Purging System
KR200453995Y1 (ko) * 2009-10-20 2011-06-14 김정준 밝기 조절 초 바람막이
US20110146577A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Showerhead with insulated corner regions
TWI369251B (en) * 2010-02-01 2012-08-01 Ind Tech Res Inst Gas distribution module and gas distribution scanning apparatus using the same
CN102918180B (zh) * 2010-05-21 2014-12-17 应用材料公司 大面积电极上的紧密安装的陶瓷绝缘体
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
CN102290312B (zh) * 2011-09-26 2013-06-05 中国科学院微电子研究所 一种平板电极固定结构
CN102290314B (zh) * 2011-09-26 2013-12-25 中国科学院微电子研究所 产生中性粒子束的装置及方法
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI628689B (zh) * 2013-05-09 2018-07-01 瑪森科技公司 用於保護電漿處理系統中之真空密封的系統與方法
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
TWM503056U (zh) * 2014-07-24 2015-06-11 Wen-Hsin Chiang 用於電漿反應裝置之襯套單元
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107919298B (zh) * 2016-10-08 2021-01-29 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US11598003B2 (en) 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
CN109837527B (zh) * 2017-11-24 2021-05-07 北京北方华创微电子装备有限公司 一种进气机构
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10867829B2 (en) * 2018-07-17 2020-12-15 Applied Materials, Inc. Ceramic hybrid insulator plate
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR20200072640A (ko) * 2018-12-12 2020-06-23 삼성디스플레이 주식회사 증착 장치
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
TWI722744B (zh) * 2019-01-07 2021-03-21 日商愛發科股份有限公司 真空處理裝置
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200093754A (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
US20200286757A1 (en) * 2019-03-08 2020-09-10 Dsgi Technologies, Inc. Apparatus for annealing semiconductor integrated circuit wafers
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
CN110504151B (zh) * 2019-08-26 2022-02-01 上海华力集成电路制造有限公司 应用于刻蚀机台分气盘安装的安装件及分气盘的安装方法
JP7282646B2 (ja) * 2019-09-26 2023-05-29 株式会社アルバック 真空処理装置
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
US11515176B2 (en) 2020-04-14 2022-11-29 Applied Materials, Inc. Thermally controlled lid stack components
CN113838733A (zh) * 2020-06-23 2021-12-24 拓荆科技股份有限公司 一种改进洁净腔室内环境的方法
CN112928012B (zh) * 2021-02-09 2023-11-14 北京北方华创微电子装备有限公司 半导体设备

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63187619A (ja) 1987-01-30 1988-08-03 Fuji Xerox Co Ltd プラズマcvd装置
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
JP2662365B2 (ja) 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
WO1995033866A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5589737A (en) * 1994-12-06 1996-12-31 Lam Research Corporation Plasma processor for large workpieces
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
TW335517B (en) 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5844205A (en) 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5989652A (en) 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5968276A (en) 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
KR20010032205A (ko) 1997-11-17 2001-04-16 래리 디. 맥밀란 박막의 안개화퇴적방법 및 장치
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6302057B1 (en) * 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6254742B1 (en) * 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
JP3501715B2 (ja) * 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101150722B1 (ko) 2010-01-07 2012-06-08 주식회사 테스 기판 처리 장치
JP2013519791A (ja) * 2010-02-15 2013-05-30 アプライド マテリアルズ インコーポレイテッド 耐アーク放電ゼロフィールドプレート
KR20150109463A (ko) * 2013-01-25 2015-10-01 어플라이드 머티어리얼스, 인코포레이티드 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
CN107578976A (zh) * 2013-01-25 2018-01-12 应用材料公司 具有可拆卸式气体分配板的喷淋头
JP2018049830A (ja) * 2013-01-25 2018-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 取り外し可能なガス分配プレートを有するシャワーヘッド
KR102196995B1 (ko) * 2013-01-25 2020-12-30 어플라이드 머티어리얼스, 인코포레이티드 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
KR102073941B1 (ko) * 2013-01-25 2020-02-05 어플라이드 머티어리얼스, 인코포레이티드 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
KR20200013121A (ko) * 2013-01-25 2020-02-05 어플라이드 머티어리얼스, 인코포레이티드 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
JP2019203198A (ja) * 2013-03-11 2019-11-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温処理チャンバリッド
JP2016512575A (ja) * 2013-03-11 2016-04-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温処理チャンバリッド
KR20170084159A (ko) * 2014-11-20 2017-07-19 아익스트론 에스이 대면적 기판들을 코팅하기 위한 cvd- 또는 pvd-반응기
KR102525990B1 (ko) 2014-11-20 2023-04-25 아익스트론 에스이 대면적 기판들을 코팅하기 위한 cvd- 또는 pvd-반응기
JP2019517139A (ja) * 2016-05-19 2019-06-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良された半導体エッチングおよび部品保護のためのシステムおよび方法
CN109155250A (zh) * 2016-05-19 2019-01-04 应用材料公司 用于改良的半导体蚀刻及部件保护的系统与方法
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP2019173128A (ja) * 2018-03-29 2019-10-10 株式会社アルバック 真空処理装置
JP7140525B2 (ja) 2018-03-29 2022-09-21 株式会社アルバック 真空処理装置
KR20200054664A (ko) * 2018-11-12 2020-05-20 주식회사 원익아이피에스 샤워헤드조립체 및 그를 가지는 기판처리장치
KR102512210B1 (ko) * 2018-11-12 2023-03-21 주식회사 원익아이피에스 샤워헤드조립체 및 그를 가지는 기판처리장치

Also Published As

Publication number Publication date
US6772827B2 (en) 2004-08-10
KR100929455B1 (ko) 2009-12-02
WO2003015481A2 (en) 2003-02-20
CN1327475C (zh) 2007-07-18
CN1608305A (zh) 2005-04-20
US7484473B2 (en) 2009-02-03
KR20040019109A (ko) 2004-03-04
US20050000432A1 (en) 2005-01-06
EP1421599A2 (en) 2004-05-26
TW552829B (en) 2003-09-11
JP4294478B2 (ja) 2009-07-15
WO2003015481A3 (en) 2003-07-31
US20020069968A1 (en) 2002-06-13

Similar Documents

Publication Publication Date Title
JP4294478B2 (ja) プラズマチャンバの懸架式ガス分配マニホールド
US6823589B2 (en) Flexibly suspended gas distribution manifold for plasma chamber
US7641762B2 (en) Gas sealing skirt for suspended showerhead in process chamber
US7776178B2 (en) Suspension for showerhead in process chamber
KR100696028B1 (ko) 고온 다층 합금 히터 어셈블리 및 관련 방법
KR101970184B1 (ko) 얇은 피가열 기판 지지체
US7722925B2 (en) Showerhead mounting to accommodate thermal expansion
JP5489390B2 (ja) プロセスチャンバ内のシャワーヘッド用サスペンション
KR20000016385A (ko) 플라즈마 생성원, 진공 펌핑 배치 및/또는 외팔보형 기판 지지체와 같은 장비 모듈을 구비하는 만능 진공 챔버
US20070267145A1 (en) Sample table and plasma processing apparatus provided with the same
US20060193101A1 (en) Electrostatic chuck and vacuum processing apparatus provided with the same
JP2003243490A (ja) ウエハ処理装置とウエハステージ及びウエハ処理方法
JP2003243492A (ja) ウエハ処理装置とウエハステージ及びウエハ処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050801

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090217

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090217

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090310

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090408

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120417

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4294478

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120417

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130417

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140417

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees