KR20070039931A - 가스 확산기 곡률에 의한 플라즈마 균일성 제어 - Google Patents

가스 확산기 곡률에 의한 플라즈마 균일성 제어 Download PDF

Info

Publication number
KR20070039931A
KR20070039931A KR1020077002450A KR20077002450A KR20070039931A KR 20070039931 A KR20070039931 A KR 20070039931A KR 1020077002450 A KR1020077002450 A KR 1020077002450A KR 20077002450 A KR20077002450 A KR 20077002450A KR 20070039931 A KR20070039931 A KR 20070039931A
Authority
KR
South Korea
Prior art keywords
plate
cathode cavity
downstream side
gas
diffuser
Prior art date
Application number
KR1020077002450A
Other languages
English (en)
Inventor
수영 최
범수 박
존 엠. 화이트
로빈 엘. 티너
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/962,936 external-priority patent/US20050233092A1/en
Priority claimed from US11/021,416 external-priority patent/US7785672B2/en
Priority claimed from US11/143,506 external-priority patent/US20060005771A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070039931A publication Critical patent/KR20070039931A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

프로세싱 챔버내에서 가스를 분배하기 위한 가스 분배판의 실시예가 제공된다. 일 실시예에서, 플라즈마 프로세싱 챔버용 가스 분배 조립체는 상류 측부와 하류 측부 사이를 통과하는 가스 통로 및 상기 가스 통로의 하류 측부에 위치하는 중공 음극 공동을 구비하는 확산판을 구비한다. 확산판의 하류 측부는 PECVD에 의해 증착되는 박막 필름 특히 SiN 및 비정질 실리콘 필름의 두께 및 특성 균일도를 개선하기 위한 곡률을 가진다. 바람직하게, 그러한 곡률은 원 또는 타원의 원호이며, 그 정점은 확산판의 중심 지점에 위치된다. 일 측면에서, 확산기의 중공 음극 공동 체적 밀도, 표면적 밀도, 또는 공동 밀도가 확산기의 중심으로부터 외측 엣지까지 증대된다. 그러한 확산판 제조 방법 또한 제공된다.

Description

가스 확산기 곡률에 의한 플라즈마 균일성 제어{PLASMA UNIFORMITY CONTROL BY GAS DIFFUSER CURVATURE}
대체적으로, 본 발명의 실시예들은 가스 분배판 조립체 및 프로세싱 챔버내에서의 가스 분배 방법에 관한 것이다.
액정 디스플레이 및 평판 패널들은 컴퓨터 및 텔레비전 모니터와 같은 능동 매트릭스 디스플레이에서 일반적으로 사용된다. 일반적으로, 플라즈마 화학기상증착(PECVD)을 이용하여 반도체 웨이퍼 또는 평판 디스플레이용 투명 기판 등의 기판상에 박막 필름을 증착한다. 일반적으로, 전구체(precursor) 가스 또는 가스 혼합물을 기판 수용 챔버내로 도입함으로써 PECVD가 이루어진다. 통상적으로, 전구체 가스 또는 가스 혼합물은 챔버의 상부에 인접하여 배치된 분배판을 통해 아래쪽으로 지향된다. 챔버에 연결된 하나 이상의 RF 소오스로부터 챔버로 무선주파수(RF) 전력을 인가함으로써 챔버내의 전구체 가스 또는 가스 혼합물이 플라즈마로 활성화(energized)(예를 들어, 여기)된다. 여기된 가스 또는 가스 혼합물이 반응하여 온도 제어형 기판 지지부상에 위치된 기판의 표면상에 물질 층을 형성한다. 반응중에 생성된 휘발성 부산물이 배기 시스템을 통해 챔버로부터 펌핑된다.
PECVD 기술에 의해 처리되는 평판들은 통상적으로 대형이고, 종종 370 mm x 470 mm를 넘는다. 4 평방미터 정도 또는 그 이상의 대형 기판이 가까운 미래에 실현될 것이다. 평판에 걸쳐 균일한 프로세스 가스를 제공하기 위해 이용되는 가스 분배판(또는 가스 확산판)의 크기가 상대적으로 크고, 특히 200 mm 및 300 mm 반도체 웨이퍼 처리에 이용되는 가스 분배판에 비해 상대적으로 크다.
TFT-LCD 분야에서 기판의 크기가 계속적으로 커짐에 따라, 대형 플라즈마 화학기상증착(PECVD)을 위한 필름 두께 및 필름 성질의 균일성 제어가 문제가 되고 있다. TFT는 평판 디스플레이 중 하나의 타입이다. 기판의 중심부와 엣지부(edge) 사이에서, 증착 속도 및/또는 필름 응력과 같은 필름 특성 편차가 중요해지고 있다.
도 1은 박막 트랜지스터 구조의 개략적인 단면도이다. 일반적인 TFT 구조는 도 1에 도시된 백 채널 에치(back channel etch; BCE) 인버티드 스태거드(inverted staggered)(또는 버텀 게이트(bottom gate) TFT 구조이다. BCE 프로세스가 바람직한데, 이는 게이트 유전체(실리콘 질화물), 및 진성(intrinsic) 및 n+ 도핑 비정질 실리콘 필름이 동일한 PECVD 펌프다운 런(pump-down run)에서 증착될 수 있기 때문이다. 여기에 도시된 BCE 프로세스는 단지 5개의 패터닝 마스크만을 포함한다. 기판(101)은 예를 들어 유리 또는 투명한 플라스틱과 같이 가시광선에 대해 광학적으로 투명한 물질을 포함할 수 있다. 기판은 여러 가지 형상 및 크기를 가질 수 있다. 통상적으로, TFT 용도의 경우에, 기판은 표면적이 500 mm2 보다 큰 유리 기판이다. 게이트 전극 층(102)이 기판(101)상에 형성된다. 게이트 전극 층(102)이 TFT 내의 전하 캐리어의 이동을 제어하는 전기 전도 층을 포함한다. 게이트 전극 층(102)이 예를 들어, 알루미늄(Al), 텅스텐(W), 크롬(Cr), 탄탈륨(Ta) 또는 그 조합과 같은 금속을 포함할 수 있다. 종래의 증착, 리소그래피 및 에칭 기술을 이용하여 게이트 전극 층(102)을 형성할 수 있다. 기판(101)과 게이트 전극 층(102) 사이에, 예를 들어 실리콘 이산화물(SiO2) 또는 실리콘 질화물(SiN)과 같은 선택적인 절연 물질이 위치될 수 있으며, 그러한 선택적인 절연 물질도 본 명세서에 기재된 PECVD 시스템의 실시예를 이용하여 형성될 수 있다. 이어서, 게이트 전극 층(102)이 종래 기술을 이용하여 리소그래피적으로 패턴화되고 에칭되어 게이트 전극을 형성한다.
게이트 유전체 층(103)이 게이트 전극 층(102)에 형성된다. 게이트 유전체 층(103)은 본 명세서에 기재된 PECVD 시스템의 실시예를 이용하여 증착된 실리콘 이산화물(SiO2), 실리콘 산질화물(SiON), 또는 SiN일 수 있다. 게이트 유전체 층(103)은 약 100 Å 내지 약 6000 Å 의 두께로 형성될 수 있다.
벌크(bulk) 반도체 층(104)이 게이트 유전체 층(103)에 형성된다. 벌크 반도체 층(104)은, 본 명세서에 기재된 PECVD 시스템의 실시예 또는 기타 소위 당업계에 공지된 종래 방법을 이용하여 증착될 수 있는, 다결정 실리콘(폴리실리콘) 또는 비정질 실리콘(α-Si) 을 포함할 수 있다. 벌크 반도체 층(104)은 약 100 Å 내지 약 3000 Å의 두께로 증착될 수 있다. 도핑된 반도체 층(105)이 반도체 층(104)에 형성된다. 본 명세서에 설명된 PECVD 시스템의 실시예 또는 공지된 종 래 방법을 이용하여 증착될 수 있는 n-타입(n+) 또는 p-타입(p+) 도핑형 다결정 실리콘(폴리실리콘) 또는 비정질 실리콘(α-Si)을 도핑된 반도체 층(105)이 포함할 수 있다. 도핑된 반도체 층(105)은 약 100 Å 내지 약 3000 Å의 두께로 증착될 수 있다. 도핑된 반도체 층(105)의 예를 들면 n+ 도핑형 α-Si 필름이 있다. 벌크 반도체 층(104) 및 도핑된 반도체 층(105)은 종래 기술을 이용하여 리스그래피적으로 패턴화되고 에칭되어 게이트 유전 절연체에 걸쳐 상기 두 개의 필름의 메사(mesa)를 형성하며, 상기 게이트 유전 절연체는 또한 저장 커패시터 유전체로서의 역할을 한다. 도핑된 반도체 층(105)은 벌크 반도체 층(104)의 부분상에 직접 접촉하여, 반도체 정크션을 형성한다.
이어서, 전도성 층(106)이 노출 표면에 증착된다. 전도성 층(106)은 예를 들어, 알루미늄(Al), 텅스텐(W), 몰리브덴(Mo), 크롬(Cr), 탄탈륨(Ta), 및 그 조합과 같은 금속을 포함할 수 있다. 전도성 층(106)은 종래 증착 기술을 이용하여 형성될 수 있다. 전도성 층(106) 및 도핑된 반도체 층(105) 모두를 리소그래픽적으로 패턴화하여 TFT의 소오스 및 드레인 콘택을 형성할 수 있다. 그 후에, 패시베이션 층(107)이 증착될 수 있다. 패시베이션 층(107)은 노출 표면을 등각(conformably) 코팅한다. 일반적으로, 패시베이션 층(107)은 절연체이고, 예를 들어 SiO2 또는 SiN을 포함할 수 있다. 패시베이션 층(107)은 예를 들어 PECVD 또는 기타의 공지된 종래 방법을 이용하여 형성될 수 있다. 패시베이션 층(107)은 약 1000 Å 내지 약 5000 Å의 두께로 증착될 수 있다. 이어서, 종래 기술을 이용 하여 패시베이션 층(107)을 리소그래픽적으로 패턴화하고 에칭하여 패시베이션 층내에 콘택 홀을 형성한다.
이어서, 투명한 전도체 층(108)이 증착되고 패턴화되어 전도성 층(106)과의 콘택을 형성한다. 투명한 전도체 층(108)은 본질적으로 가시광선 범위에서 광학적으로 투명하고 전기적으로 전도성을 가지는 물질을 포함한다. 투명한 전도체 층(108)은, 예를 들어 인듐 주석 산화물(ITO) 또는 아연 산화물 등을 포함할 수 있다. 투명한 전도체 층(108)의 패턴닝은 종래의 리소그래피 기술 및 에칭 기술에 의해 달성될 수 있다.
액정 디스플레이(또는 평판)에서 이용되는 도핑형 또는 비도핑형(un-doped)(진성) 비정질 실리콘(α-Si), SiO2, SiON 및 SiN 필름은 모두 본 명세서에 기재된 플라즈마 화학기상증착(PECVD) 시스템의 실시예를 이용하여 증착될 수 있다. 본 명세서에 기재된 TFT 구조는 단지 예로서 사용된 것이다.
TFT-LCD 업계에서 기판의 크기가 계속 커짐에 따라, 특히 기판의 크기가 약 1000 mm x 1200 mm(또는 약 1,200,000 mm2) 이상이 됨에 따라, 대형 플라즈마 화학기상증착(PECVD)에서 필름 두께 및 특성의 균일성이 보다 문제가 되고 있다. 주목할 만한 균일성 문제의 예를 들면, 몇몇 높은 증착 속도의 SiN 및 α-Si 필름의 경우에 대형 기판의 중심 구역에서 증착 속도가 보다 높고 필름이 보다 압축적(compressive)이 된다. 기판에 걸친 두께 균일성 문제는 중심 구역내의 필름이 엣지 구역내의 필름 보다 두꺼운 "돔(dome) 형상" 또는 "두꺼운 중심부"로 나타난 다.
따라서, PECVD 챔버내에서 대형 기판에 증착된 박막 필름 특히, SiN 및 α-Si에 대한 필름 증착 두께 및 필름 특성의 균일성을 개선할 수 있는 가스 분배판 조립체에 대한 요구가 있다.
프로세싱 챔버내에서 가스를 분배하기 위한 가스 분배판의 실시예들이 제공된다. 일 실시예에서, 플라즈마 프로세싱 챔버를 위한 가스 분배판 조립체는 상류 측부(side) 및 하류 측부를 가지는 확산판(diffuser plate), 상기 확산판의 상류 측부와 하류 측부 사이의 가스 통로, 및 상기 가스 통로의 하류 측부에 위치하는 중공 음극 공동(hollow cathode cavities)을 포함한다. 확산판의 하류 측부가 곡률을 가짐으로써 PECVD에 의해 증착되는 박막 필름의 두께 균일성 및 특성 균일성을 개선한다. 일 측면에서, 확산기(diffuser)의 중공 음극 공동 부피 밀도, 중공 음극 공동 표면적 밀도, 또는 음극 공동 밀도가 확산기의 중심으로부터 엣지로 갈수록 높아진다. 다른 측면에서, 가스 확산판의 하류 측부는 다수의 동심적인 영역들로 분할되며, 상기 각 영역내의 가스 통로는 서로 동일하고, 각 영역내의 가스 통로의 중공 음극 공동의 밀도, 부피, 또는 표면적은 확산판의 중심으로부터 엣지까지 점차적으로 커진다.
다른 실시예에서, 플라즈마 프로세싱 챔버는 상류 측부 및 하류 측부를 가지는 확산판, 상기 확산판의 상류 측부 및 하류 측부 사이에서 연장하는 가스 통로, 및 상기 가스 통로의 하류 측부의 중공 음극 공동을 포함한다. 확산판의 하류 측부가 곡률을 가짐으로써 PECVD에 의해 증착되는 박막 필름의 두께 균일성 및 특성 균일성을 개선한다. 일 측면에서, 확산기의 중공 음극 공동 부피 밀도, 중공 음극 공동 표면적 밀도, 또는 음극 공동 밀도가 확산기의 중심으로부터 엣지로 갈수록 높아진다.
다른 실시예에서, 플라즈마 프로세싱 챔버용 가스 확산판을 제조하는 방법이: 가열에 의해 확산판을 연화(softening)시키는 단계, 상기 확산판을 곡률 어닐링 설비(curvature annealing fixture)를 이용하여 소정 곡률로 벤딩하는 단계, 및 확산판내로 가스 통로들을 가공하는 단계를 포함한다.
다른 실시예에서, 플라즈마 프로세싱 챔버를 위한 확산판 제조 방법은: 실질적으로 평평한 확산판을 가공하여 곡률을 형성하는 단계 및 상기 확산판에 가스 통로들을 가공 형성하는 단계를 포함한다.
다른 실시예에서, 기판상에 박막 필름을 증착하는 방법이: 곡률, 상류 측부 및 하류 측부, 확산판의 상류 및 하류 측부 사이를 통과하는 가스 통로들, 및 상기 가스 통로들의 하류 측부의 중공 음극 공동들을 구비하는 가스 확산판을 포함하는 프로세스 챔버내에 기판을 위치시키는 단계; 확산판을 통해서 그리고 기판 지지부상에 지지된 기판을 향해서 프로세스 가스(들)를 유동시키는 단계; 상기 확산판과 기판 지지부 사이에 플라즈마를 생성하는 단계; 및 상기 프로세스 챔버내에서 기판상에 박막 필름을 증착하는 단계를 포함한다. 일 측면에서, 확산판의 중심에 위치한 가스 통로들의 중공 음극 공동 체적 밀도, 또는 중공 음극 공동 표면적 밀도, 또는 중공 음극 공동 밀도가 확산판의 엣지에 위치하는 가스 통로들 보다 작다.
본 발명의 전술한 특징들이 보다 용이하게 이해될 수 있도록, 도면에 일부가 도시된 실시예들을 참조하여, 앞서서 간단하게 설명한 본원 발명에 대해 보다 구체적으로 설명한다. 그러나, 첨부 도면들은 본 발명의 일반적인 실시예들만을 도시한 것으로서 본 발명의 범위를 제안하는 것이 아니며, 그에 따라 본 발명은 다른 균등한 효과의 실시예까지 포함할 것이다.
도 1은 박막 트랜지스터 구조의 단면도이다.
도 2는 2200 mm 넓이의 유리 기판에 걸친 비정질 실리콘 필름의 두께 프로파일을 도시한 그래프이다.
도 3은 2200 mm 넓이의 유리 기판에 걸친 다른 비정질 실리콘 필름의 두께 프로파일을 도시한 그래프이다.
도 4는 2200 mm 넓이의 유리 기판에 걸친 또 다른 비정질 실리콘 필름의 두께 프로파일을 도시한 그래프이다.
도 5는 플라즈마 화학기상증착 시스템의 일 실시예의 단면도이다.
도 6a는 RF 중공 음극의 개략도이다.
도 6b-6g는 중공 음극 공동의 여러 디자인을 도시한 도면들이다.
도 8은 가스 확산판의 단면도이다.
도 8a는 가스 통로의 하류 단부까지 연장하는 보어의 직경 "D", 깊이 "d" 및 펼침 각도 "α"를 규정한 단면도이다.
도 9a는 다수 영역내의 확산 홀을 구비한 확산판을 도시한 도면이다.
도 9b는 혼합된 중공 음극 공동 직경을 나타낸 도면으로서, 내측 구역 중공 음극 공동 부피 및/또는 표면적 밀도가 외측 구역 중공 음극 공동 부피 및/또는 표면적 밀도보다 작다는 것을 나타내는 확산판의 평면도이다.
도 9c는 중공 음극 공동의 대부분이 동일한 확산판을 도시한 도면으로서, 확산판의 엣지에 인접하여 몇 개의 큰 중공 음극 공동이 배치된 것을 도시한 확산판의 평면도이다.
도 9d는 다양한 확산 홀 밀도를 가지는 확산판의 하류 측부를 도시한 도면이다.
도 10은 곡률을 가지는 가스 확산판의 일 실시예의 단면도이다.
도 10a는 곡률을 가지는 가스 확산판의 일 실시예의 단면도이다.
도 10b는 곡률을 가지는 가스 확산판의 일 실시예의 단면도이다.
도 11은 곡률을 가지는 가스 확산판을 이용하였을 때 유리 기판에 걸친 비정질 실리콘 필름의 두께 프로파일을 도시한 그래프이다.
도 12는 원하는 곡률로 확산판을 벤딩하기 위한 확산-어닐링 프로세스의 흐름도이다.
도 13은 1.4인치 두께의 알루미늄 확산판을 어닐링하기 위한 예시적인 중량체 배치(lay-out)를 도시한 도면이다.
명료한 설명을 위해, 도면들 사이에서 공통되는 동일한 구성에 대해서는 가능한 한 동일한 참조부호를 사용하였다.
개략적으로 설명하면, 본 발명은 프로세스 챔버내에 가스를 공급하기 위한 가스 분배 조립체를 제공한다. 이하에서는, 미국 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼스사의 자회사인 AKT가 공급하는 플라즈마 화학기상증착(PECVD) 시스템과 같이 대형 기판을 프로세싱하기 위한 플라즈마 화학기상증착 시스템을 참조하여, 본 발명을 설명한다. 그러나, 본 발명은 에칭 시스템, 기타 화학기상증착 시스템 및, 둥근 기판을 프로세싱하기 위한 시스템을 포함하여 프로세스 챔버내로 가스를 분배하는 기타 시스템과 같은 다른 시스템에도 이용될 수 있다는 것을 이해하여야 한다.
SiN 필름의 경우에, 중심의 두께 균일성 문제는 PECVD 가스 확산판의 하류 표면상에 음극 공동의 크기 및/또는 분포를 변화시킴으로써 해결되어 왔다. 음극 공동들은 PECVD 챔버내에서 플라즈마 이온화를 촉진한다. SiN 필름 두께 및 필름 특성 균일성이 PECVD 챔버내의 국부적인 플라즈마 밀도에 의해 강하게 영향을 받기 때문에, 확산판의 표면에 걸쳐 중공 음극 공동의 깊이, 직경, 표면적 및/또는 밀도를 변경시켜 대형 기판에 대한 중심 두께 균일성 문제를 해결할 수 있을 것이다. 이러한 기술이 중공 음극 구배(gradient), 또는 HCG 방법으로 공지되어 있으며, 이에 대해서는 도 6a, 8 및 8a와 관련하여 이하에서 보다 상세히 설명한다. HCG 방법에 대한 보다 상세한 설명이 Choi 등이 2004년 7월 12일자로 출원한 "가스 확산기 홀 디자인에 의한 플라즈마 균일성 제어"라는 명칭의 미국 특허출원 제 10/889,683 호에 기재되어 있다.
α-Si 필름의 경우에, 크기가 1,200,000 mm2 이상인 기판에서 두께 균일성이 여전히 문제가 되고 있다. 본 명세서에서 사용된 "기판 크기" 및 "확산판 크기"은 확산판 또는 기판의 공칭 표면적, 또는 풋프린트(footprint)를 의미하는 것이며, 습윤(wetted) 표면적 즉, 모든 측면 및 표면을 더한 총 표면적을 의미하는 것이 아니다. 예를 들어, 1,000 mm x 1,000 mm 확산판은 1,000,000 mm2의 공칭 크기를 가지나, 상하의 표면, 측면 엣지, 및 확산기의 표면에 가공된 모든 구성물을 포함하는 습윤 표면적은 그 보다 상당히 클 것이다. 도 2는 2200 mm 넓이의 유리 기판에 걸친 비정질 실리콘 필름의 두께 프로파일을 도시한다. 가로좌표는 2200 mm 길이 기판의 프로파일을 따른 각 두께 측정 위치를 밀리미터로 나타낸 것이다. 세로좌표는 기판에 증착되는 비정질 실리콘 필름의 증착 속도를 Å/분으로 나타낸 것이다. 도 2에는 두 개의 데이터 세트 즉, 사각형으로 표시된 데이터 세트(201) 및 다이아몬드형으로 표시된 데이터 세트(202)가 도시되어 있다. 데이터 세트(210 및 202)는 기판의 각각의 대각선을 따라 측정된 증착 속도 프로파일을 나타낸다. 도 2에 도시된 바와 같이, 두 개의 프로파일 사이에는 큰 차이가 없으며, 그에 따라 데이터 세트(201 및 202)에 의해 나타나는 중심 두께 프로파일이 확산기의 길이에 걸쳐 비교적 일정하다고 생각된다.
HCG를 포함하는 가스 확산판을 이용하여 데이터 세트(201 및 202)에 대해 측정되는 α-Si 필름을 증착하였다. 필름 증착 중에, 전극 간격 즉, PECVD 챔버내의 기판 지지부와 가스 확산판 사이의 거리는 0.800 인치였다. 필름 증착 중의 프로 세스 조건은 다음과 같다. 즉: 10,000 sccm SiH4 가스 유동 속도, 40,000 sccm H2 가스 유동 속도, 11,000 W RF 플라즈마 파워, 2.7 Torr 챔버 압력, 및 340℃(내측 기판 히터) 및 360℃(외측 기판 히터)의 기판 온도. 가스 확산판, 기판 지지부, 및 전극 간격을 포함하는 PECVD 챔버의 구성요소들에 대해서는 도 5를 참조하여 보다 상세히 설명한다. SiH4 이외의 다른 실리콘-함유 가스, 예를 들어 Si2H6를 이용하여 PECVD 챔버내에서 α-Si 필름을 증착할 수도 있을 것이다. 도 2를 다시 참조하면, HCG를 포함하는 가스 확산판을 이용함에도 불구하고, 비정질 실리콘의 두께 균일성과 관련하여 여전히 중심이 두꺼운 효과가 나타나고 있으며, 기판의 엣지에서의 필름 특성 및 균일성도 나쁘다. 필름 균일성 프로파일의 기판 중심 구역(203)은 수용가능한 필름 특성 및 균일성을 나타내는데 반해, 엣지 구역(204 및 205)은 나쁜 균일성 및 필름 특성을 나타낸다. HCG가 약간의 영향을 미치는 것으로 나타난다.
보다 좁은 전극 간격에서, 엣지에서의 비정질 실리콘 필름의 두께 균일성이 개선되나, 이는 대형 기판의 중심에서의 열악한 필름 균일성에 의해 상쇄된다. 도 3 및 도 4는 전극 간격이 각각 0.650 및 0.550 인치일 때 2200 mm의 넓은 유리 기판에 걸친 비정질 실리콘 필름의 두께 프로파일을 나타낸다. 도 3에서, 필름 두께 프로파일(301 및 302)은 기판 중심 구역(303)에서의 균일성 악화 및 엣지 구역(304 및 305)에서의 두께 균일성의 약간의 개선을 보여준다. 보다 좁은 0.650 인치의 전극 간격을 제외하고, 도 3에 대해 측정된 α-Si 필름이 동일한 PECVD 챔버내에서 그리고 도 2에 대해 측정된 α-Si 필름에서와 동일한 프로세스 조건에서 증착되었다. 도 4는 전극 간격이 0.550 인치라는 것을 제외하고 도 2 및 도 3의 필름에서와 동일한 프로세스 조건하에서 증착된 α-Si 필름에 대한 필름 두께 프로파일(401 및 402)을 도시한 것이다. 필름 두께 프로파일(401 및 402)은 중심 구역(403)에서 균일성이 보다 더 악화된 것을 보여주고 또 엣지 구역(404 및 405)에서 두께 균일성이 크게 개선된 것을 보여준다. 따라서, 도 2, 3 및 4에 도시된 데이터는 전극 간격이 중공 음극 구배 효과 보다 α-Si 필름 균일성에 더 큰 영향을 미친다는 것을 나타낸다.
도 2, 3 및 4에 도시된 바와 같이, α-Si을 대형 기판에 증착할 때, 다양한 전극 간격에서 HCG 가스 확산판을 이용하는 것으로는 필름 두께 균일성 문제에 변화를 일으킬 수는 있으나 그 문제를 제거하지는 못할 것이다. 일반적으로, 좁은 전극 간격은 엣지 두께 균일성을 개선하고, 넓은 간격은 중심 두께 균일성을 개선한다. 그러나, 상기 프로세스 조건에서 α-Si 필름의 중심과 엣지 구역 모두에서 수용가능한 두께 균일성을 획득할 수 있게 하는 하나의 전극 간격은 찾을 수가 없다.
HCG 가스 확산판을 이용하여 α-Si 필름의 수용가능한 두께 균일성을 얻기 위해서는, 전극 간격 이외의 다른 프로세스 파라미터를 조정할 수 있을 것이다. 그러나, 이러한 해결 방식에 있어서의 큰 단점은 수용가능한 α-Si 필름의 생산을 위해서는 좁은 프로세스 윈도우(window)에 의존하여야 한다는 것이다. 프로세스 윈도우는 기판 온도 또는 가스 유동 속도와 같은 모든 프로세스 파라미터들에 대한 가변 범위이며, 그러한 가변 범위는 여전히 수용가능한 결과를 가능하게 할 것이다. 프로세스 윈도우가 좁은 경우에, 프로세스 파라미터의 약간의 변경(종종 탐지 불가능한 경우도 있다)도 최종 제품에서의 큰 변화를 초래할 수 있다. 이러한 변경은 기판 프로세싱중에 항상 존재하는 무작위 변동(random fluctuations)일 수 있고, 또는 프로세스 챔버의 구성요소가 마모되거나 측정 장치의 정확도가 떨어짐에 따라 시간 경과에 의해 점차적으로 진행되는 장시간 변화(long-term drift)일 수 있다. 이는, 하나의 PECVD 챔버내에서 수용가능한 필름을 생산하는 동일한 프로세스 파라미터 셋팅도 명목상(nominally) 동일한 PECVD 챔버내에서 동일하게 작용하지 않을 수 있고 또 프로세스 파라미터들을 각 챔버에 맞춰 미세하게 조정할 필요가 있을 수 있다는 것을 의미한다. 다시 말해, 프로세스 파라미터가 좁은 프로세스 윈도우내에서 운용되어야 하는 경우에, 수용가능한 필름을 기판에 증착하는 PECVD 챔버도 시간이 경과하면 수용불가능한 필름을 증착하기 쉬울 것이다. 따라서, 이러한 방법은 기판의 대량 프로세싱에서 실용적이지 못하다. 그에 따라, HCG 만을 가지는 가스 확산판만을 이용하는 것은 SiN 및 α-Si 필름 모두의 경우에 대형 기판에 증착된 필름의 일반적인 두께 균일성 문제를 해결하지 못한다.
예시적인 PECVD 챔버
도 5는 본 발명의 이점을 가지도록 조정될 수 있는 플라즈마 화학기상증착 시스템(500)의 개략적인 단면도이다. 그러한 PECVD 시스템(500)은 미국 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼스사의 자회사인 AKT로부터 구입할 수 있다. 일반적으로, 상기 시스템(500)은 가스 공급원(504)에 연결된 프로세 싱 챔버(502)를 포함한다. 상기 프로세싱 챔버(502)는 프로세스 체적부(512)를 부분적으로 형성하는 벽(506) 및 바닥(508)을 구비한다. 통상적으로, 상기 프로세스 체적부(512)는 벽(506)내의 포트(도시 안 됨)를 통해 접근할 수 있으며, 상기 포트는 기판(540)이 프로세싱 챔버(502) 내외로 용이하게 이동될 수 있게 한다. 벽(506) 및 바닥(508)은 알루미늄 또는 프로세싱에 견딜 수 있는 기타 물질로 이루어진 단일 블록으로부터 제조될 수 있다. 벽(506)은 프로세스 체적부(512)를 배기 포트(여러 펌핑 구성요소를 포함한다; 도시 안 됨)에 연결하는 펌핑 플리넘(plenum)(514)을 포함하는 리드(lid) 조립체(510)를 지지한다. 그 대신에, 배기 포트(도시 안 됨)가 프로세싱 챔버(502)의 바닥부에 위치되고, 프로세스 체적부(512)에 펌핑 플리넘(514)이 필요 없을 수도 있다.
온도 제어식 기판 지지부 조립체(538)가 프로세싱 챔버(502)의 중심에 배치된다. 상기 지지부 조립체(538)는 프로세싱 중에 유리 기판(540)을 지지한다. 일 실시예에서, 기판 지지부 조립체(538)는 하나 이상의 매립형 히터(532)를 봉입하는 알루미늄 본체(524)를 포함한다. 지지부 조립체(538)내에 배치된 저항 요소와 같은 히터(532)가 선택적인 전원(574)에 연결되고 지지부 조립체(538) 및 그 지지부 조립체에 놓인 유리 기판(540)을 소정 온도까지 제어가능하게 가열한다. 통상적으로, CVD 프로세스에서, 증착 물질에 대한 증착 프로세싱 파라미터에 따라, 히터(532)는 유리 기판(540)을 약 150 내지 약 460 ℃ 이상의 온도에서 유지한다.
일반적으로, 지지부 조립체(538)는 하부 측면(526) 및 상부 측면(534)를 가진다. 상부 측면(534)은 유리 기판(540)을 지지한다. 하부 측면(526)에는 스 템(stem)(542)이 결합된다. 상기 스템(542)은 지지부 조립체(538)를 승강 시스템(도시 안 됨)에 결합시키고, 상기 승강 시스템은 지지부 조립체(538)를 상승된 프로세싱 위치(도시된 바와 같음)와 하강된 위치 사이에서 이동시키며, 상기 하강된 위치는 프로세싱 챔버(502)로부터의 기판 이송을 용이하게 한다. 스템(542)은 지지부 조립체(538)와 시스템(500)의 기타 부품 사이에서 전기 및 열전쌍(thermocouple) 리드(lead)를 위한 도관을 추가적으로 제공한다.
벨로우즈(564)가 프로세싱 챔버(502)의 바닥(508)과 지지부 조립체(538)(또는 스템(542)) 사이에 결합된다. 벨로우즈(564)는 지지부 조립체(538)의 수직 이동을 허용하면서도 프로세싱 체적부(512)와 프로세싱 챔버(502) 외부의 대기 사이에 진공 밀봉을 제공한다.
일반적으로, 전원(522)으로부터 리드 조립체(510)와 기판 지지부 조립체(538) 사이에 위치된 가스 분배판 조립체(518)로 공급된 무선 주파수(RF) 전력이 지지부 조립체(538)(또는 챔버의 리드 조립체내에 또는 그에 인접하여 위치된 기타 전극)와 분배판 조립체(518) 사이의 프로세스 체적부(512)내에 존재하는 가스들을 여기시키도록, 지지부 조립체(538)가 접지된다. 전원(522)으로부터의 RF 전력은 화학기상증착 프로세스 구동을 위해 기판의 크기에 비례하여 선택된다.
리드 조립체(510)는 프로세스 체적부(512)에 대한 상부 경계를 제공한다. 일 실시예에서, 리드 조립체(510)가 알루미늄(Al)으로 제조된다. 리드 조립체(510)는 그 내부에 형성되고 외부 펌핑 시스템(도시 안 됨)에 연결된 펌핑 플리넘(514)을 포함한다. 펌핑 플리넘(514)을 이용하여 프로세스 체적부(512)로부터 그리고 프로세싱 챔버(502) 외부로 가스 및 프로세싱 부산물을 균일하게 전달한다.
통상적으로, 리드 조립체(510)는 가스 공급원(504)에 의해 제공된 프로세스 가스가 프로세싱 챔버(502)로 공급될 수 있게 허용하는 유입 포트(580)를 포함한다. 유입 포트(580)는 또한 세정 공급원(cleaning source)(582)에 연결된다. 통상적으로, 세정 공급원(582)은 유리(遊離) 불소와 같은 세정제를 제공하며, 상기 세정제는 프로세싱 챔버(502)내로 도입되어 가스 분배판 조립체(518)를 포함하는 프로세싱 챔버 하드웨어로부터 증착 부산물 및 필름을 제거한다.
가스 분배판 조립체(518)는 리드 조립체(510)의 내측부(520)에 결합된다. 통상적으로, 가스 분배판 조립체(518)의 형상은 유리 기판(540)의 둘레에 실질적으로 일치되도록 구성되며, 예를 들어 대형 평만 기판의 경우에는 사각형이 될 것이고 웨이퍼의 경우에는 원형이 될 것이다. 가스 분배판 조립체(518)는 천공 영역(516)을 포함하며, 가스 공급원(504)으로부터 공급된 프로세스 가스 및 기타 가스가 상기 천공 영역을 통과하여 프로세스 체적부(512)로 공급된다. 가스 분배판 조립체(518)의 천공 영역(516)은 가스 분배판 조립체(518)를 통해 프로세싱 챔버(502)로 유동하는 가스가 균일하게 분배되도록 구성된다. 본 발명의 이점을 가지도록 구성될 수 있는 가스 분배판이 Keller 등이 2001년 8월 8일자로 출원하고 본 출원인에게 양도한 미국 특허출원 제 09/922,219 호, Yim등이 2002년 5월 6일자로 출원한 미국 특허출원 제 10/140,324 호, 및 Blonigan 등이 2003년 1월 7일자로 출원한 미국 특허출원 제 10/337,483 호, 2002년 11월 12일자로 White 등에게 허여된 미국 특허 제 6,477,980 호, Choi 등이 2003년 4월 16일자로 출원한 미국 특허 출원 제 10/417,592 호, 및 Choi 등이 2004년 4월 12일자로 출원한 미국 특허출원 제 10/823,347 호에 개시되어 있으며, 이들 전체는 본 명세서에서 참조하고 있다.
통상적으로, 가스 분배판 조립체(518)는 행거판(hanger plate)(560)으로부터 현수된(suspended) 확산판(또는 분배판)(558)을 포함한다. 그 대신에, 확산판(558) 및 행거판(560)이 단일의 일체형 부재일 수도 있다. 다수의 가스 통로(562)가 확산판(558)을 통해 형성되어, 가스의 소정량의 분배가 가스 분배판 조립체(518)를 통과하여 프로세스 챔버(502)로 유동할 수 있게 허용한다. 플리넘(564)이 행거판(560), 확산판(558) 및 리드 조립체(510)의 내측부(520) 사이에 형성된다. 플리넘(564)은 리드 조립체(510)를 통해 유동하는 가스가 확산판(558)의 폭에 걸쳐 균일하게 분포되게 하여, 중심 천공 영역(516) 위쪽으로 가스가 균일하게 제공될 수 있게 하고 또 가스 통로(562)를 통해 균일한 분포로 유동될 수 있게 한다.
통상적으로, 확산판(558)은 스테인리스 스틸, 알루미늄(Al), 니켈(Ni), 또는 기타 RF 전도성 물질로 제조된다. 확산판(558)은 주조(cast), 용접, 단조, 고온 등압(isostatic) 프레싱 또는 소결될 수 있다. 일 실시예에서, 확산판이 양극처리되지 않은 베어(bare) 알루미늄으로 제조된다. 확산판(558)을 위한 비-양극처리(non-anodized) 알루미늄 표면은 PECVD 시스템(500)에서 프로세싱되는 기판을 오염시킬 수 있는 입자 형성의 감소를 나타낸다. 또한, 양극처리되지 않을 때 확산판(558)의 제조 비용이 감소된다. 일반적으로, 확산판(558)을 위한 적절한 베어 알루미늄 표면에는 스크래치나 버어(burr)가 없으며, 바람직하지 못한 오염물질 제 거를 위해 사용전에 화학적으로 세정되고, 기계적으로 폴리싱되거나 전기폴리싱될 수도 있다. 본 발명의 맞춰 변경될 수 있는 비-양극처리 알루미늄 확산판이 Shang 등에 의해 1998년 7월 13일자로 출원되고 본 출원인에게 양도된 "기판 프로세싱 챔버에서 이용하기 위한 표면-처리된 샤워헤드"라는 명칭의 미국 특허 제 6,182,603 호에 개시되어 있다. 확산판(558)의 두께는 약 0.8 인치 내지 약 2.0 인치이다. 확산판(558)은 반도체 웨이퍼 제조를 위한 원형 또는 평판 디스플레이 제조를 위한 장방형과 같은 다각형일 수 있다.
기판(540)에 평행하고 실질적으로 평평하도록 확산판(558)을 구성하고 확산판(558)의 표면에 걸쳐 실질적으로 균일하도록 동일한 가스 통로(562)를 분포시키는 것이 소위 당업계에서 실질적으로 표준화되어 있다. 확산판(558)의 그러한 구성은 1,200,000mm2 미만의 기판에 필름을 증착하는 경우에 프로세스 체적부(512)내에 충분한 가스 유동 및 플라즈마 밀도 균일성을 제공한다. 그에 따라, PECVD 챔버내에서 1,200,000mm2 미만의 기판상에 SiN, α-Si 및 기타 필름을 증착할 때, 가스 유동 속, 플라즈마 전력, 기판 온도, 및 프로세스 챔버 압력과 같은 프로세스 파라미터만을 변경하여, 두께 균일성 및 필름 특성 균일도가 얻어질 수 있다. 그러나, 기판의 크기가 커짐에 따라, 증착 필름, 특히 SiN 및 α-Si 필름의 균일성을 유지하기가 보다 어려워졌다. 일반적으로, 균일한 크기 및 형상의 가스 통로(562)가 균일하게 분포된 평평한 확산판(558)은 필름을 수용가능한 정도의 두께 및 필름 특성 균일도로 대형 기판상에 부착할 수 없다.
중공 음극 구배
기판이 클 때, 즉 약 1,000 mm x 1,200 mm 이상일 때, PECVD 필름의 필름 두께 및 필름 특성의 균일성을 유지하기가 보다 더 어렵다. SiN 필름의 경우에, 기판에 걸친 두께는 중심 구역이 엣지 구역 보다 더 두꺼운 "돔(dome) 형상"을 나타낸다. 이러한 효과는 기판이 커질 수록 더욱 나쁘게 나타난다.
PECVD 챔버내에서 약 1,200,000 mm2 이상의 기판에 증착된 SiN 필름의 경우에, 중공 음극 구배, 또는 HCG를 이용하여 필름 두께 및 필름 특성 균일도를 개선할 수 있다는 것을 알 수 있다. HCG 방법은 도 6a 및 도 8과 관련하여 이하에서 설명되며, 또 "가스 확산기 홀 디자인에 의한 플라즈마 균일성 제어"라는 명칭의 미국 특허출원 제 10/889,683 호에 기재되어 있다. HCG를 포함하는 확산판(558)은 프로세스 체적부(512)내의 플라즈마 분포를 변경함으로써 SiN 필름 두께 및 필름 특성의 균일성을 개선한다. 이는, PECVD에 의한 필름의 증착이 활성 플라즈마 공급원에 상당히 의존하기 때문이다. 그에 따라, 프로세스 체적부(512)내의 불균일한 플라즈마 분포가 기판(540)상의 열악한 필름 균일성을 초래할 수 있다.
도 6a와 관련하여 설명하는 바와 같이, 중공 음극 효과로 인해 PECVD 시스템(500)의 프로세스 체적부(512)내에 조밀한 화학 반응 플라즈마가 생성될 수 있다. 음성적으로 대전된 RF 전극(601)의 중공 음극 방전의 RF 발생에서의 구동력은 RF 전극(601)에서 공간 대전 외장(space charge sheath), 또는 벽 외장에 걸친, 자체-바이어스(self-bias) 전압으로 알려져 있는, 주파수 변조 DC 전압 Vs이다. 도 6a는, 서로 대향하는 각각의 공간 대전 외장(602a 및 602b)의 척력 전기장(603a 및 603b) 사이의 전자 "e"의 진동 운동 및 RF 중공 음극을 개략적으로 나타낸다. 공간 대전 외장(602a 및 602b)의 두께는 "δ"이다. 전자 "e"가 음극 벽으로부터 방출되고, 이 경우에 상기 음극 벽은 프로세스 체적부(512)에 밀접한 가스 통로(562)의 벽들일 수 있는 RF 전극(601)이다. 가스 통로(562) 및 프로세스 체적부(512)가 도 5 및 도 8에 도시되어 있다. 도 6a를 다시 참조하면, 전자 "e"는 공간 대전 외장(602a 및 602b)에 걸친 전기장(603a)에 의해 가속된다. 서로 대향하는 공간 대전 외장(602a 및 602b)의 척력 장(field)(603a 및 603b)으로 인해, 전자 "e"는 RF 전극(601)의 벽들 사이의 내측 공간을 가로질러 경로(605)를 따라 진동한다. 전자 "e"는 프로세스 가스와의 진동에 의해 에너지를 잃고 보다 많은 이온을 생성한다. 생성된 이온들은 RF 전극으로 가속되고, 그에 따라 2차 전자의 방출을 촉진하며, 이는 추가적인 이온을 생성한다. 전체적으로, 음극 벽들 사이의 공동이 전자 방출 및 가스의 이온화를 촉진한다. 예를 들어 가스 배출구 직경 보다 직경이 작은 가스 유입구를 가지는 확산판내에 가스 통로가 형성될 때, 음극 벽내의 절두원추형 피쳐(feature)가 원통형 벽 보다 더 효율적으로 가스를 이온화한다. 절두원추형 음극 공동의 예를 도 8과 관련하여 이하에서 보다 구체적으로 설명한다. 가스 유입구와 가스 배출구 사이의 이온화 효율 편차로 인해 포텐셜(Ez)이 생성된다.
확산판(558)의 경우에, 중공 음극 공동이 가스 통로(562)의 하류 단부에 위치되고 프로세스 체적부(512)에 밀접하게 위치된다. 중공 음극 공동의 밀도 또는 배열 그리고 가스 통로(562)의 음극 공동의 벽의 디자인을 변경함으로써, 플라즈마 밀도를 제어할 수 있도록 가스 이온화가 개선될 수 있을 것이고, 그에 따라 증착된 SiN 필름의 필름 두께 및 특성 균일도가 개선될 수 있다는 것을 알 수 있다. 이러한 것을 입증할 수 있는 방법 및 결과가 전술한 "가스 확산기 홀 디자인에 의한 플라즈마 균일성 제어"라는 명칭의 미국 특허출원 제 10/889,683 호에 기재되어 있다. 프로세스 체적부(512)에 인접한 중공 음극 공동의 예는 도 8의 제 2 보어(812)이다. 중공 음극 효과는 주로 프로세스 체적부(512)와 마주하는 제 2 보어(812)의 절두원추형 구역내에서 일어난다. 도 8의 디자인은 단지 예로서 사용되었다. 본 발명은 다른 타입의 중공 음극 공동 디자인에도 적용될 수 있다. 중공 음극 공동 디자인의 다른 예는 도 6b-6g에 도시된 디자인을 포함할 수 있으나, 그것으로 제한되는 것이 아니다. 중공 음극 공동 즉, 제 2 보어(812)의 표면적 및 체적을 변화시킴으로써, 플라즈마 이온화 속도를 변화시킬 수 있다.
도 8은, 본 발명에 적용될 수 있으며 2003년 4월 16일자로 출원되고 본 출원인에게 양도되었으며 본 명세서에서 참조하는 "대형 플라즈마 화학기상증착을 위한 가스 분배판 조립체"라는 명칭의 미국 특허출원 제 10/417,592 호에 개시된, 예시적인 확산판(558)을 도시한 부분 단면도이다. 확산판(558)은 리드 조립체(510)와 마주하는 제 1 또는 상류 측부(802), 및 지지부 조립체(538)와 마주하고 상기 제 1 측부와 대향하는 제 2 또는 하류 측부(802)를 포함한다. 각 가스 통로(562)는 오리피스 홀(814)에 의해 제 2 보어(812)에 연결된 제 1 보어(810)에 의해 형성되고, 이들이 조합되어 가스 분배판(558)을 통한 유체 경로를 형성한다. 제 1 보어(810)는 가스 분배판(558)의 상류 측부(802)로부터 바닥(818)까지 제 1 깊이(830) 만큼 연장한다. 제 1 보어(810)의 바닥(818)이 테이퍼 가공(tapered), 베벨 가공(beveled), 모따기 가공(chamfered) 또는 둥글게 가공(round)되어, 제 1 보어로부터 오리피스 홀(814)내로 가스가 유동할 때 유동 제한(restriction)을 최소화시킨다. 일반적으로, 제 1 보어(810)는 약 0.093 내지 약 0.218 인치의 직경을 가지며, 일 실시예에서는 약 0.156 인치의 직경을 가진다.
제 2 보어(812)가 확산판(558)내에 형성되고 하류 측부(또는 단부)로부터 약 0.10 내지 약 2.0 인치의 깊이(832)까지 연장한다. 바람직하게, 상기 깊이(832)는 약 0.1 인지 내지 약 1.0 인치이다. 일반적으로, 제 2 보어(812)의 개구부 직경(836)은 약 0.1 인치 내지 약 1.0 인치이고, 약 10 도 내지 약 50 도의 각도(816)로 펼쳐질 수 있다. 바람직하게, 개구부 직경(836)이 약 0.1 인치 내지 약 0.5 인치이고, 펼쳐짐 각도(816)는 20 도 내지 약 40 도이다. 제 2 보어(812)의 표면적이 약 0.05 평방 인치 내지 약 10 평방 인치이고, 바람직하게는 약 0.05 평방 인치 내지 약 5 평방 인치이다. 제 2 보어(812)의 직경은 하류 표면(804)과 교차하는 직경을 의미한다. 예를 들어 1870 mm x 2200 mm 기판을 프로세싱하는데 이용되는 확산판은 0.302 인치 직경 및 약 22 도의 펼쳐짐 각도(816)를 가지는 제 2 보어(812)를 구비한다. 인접하는 제 2 보어(812)들의 림(882)들 사이의 거리(880)는 약 0 인치 내지 약 0.6 인치, 바람직하게는 약 0 인치 내지 약 0.4 인치이다. 일반적으로, 제 1 보어(810)의 직경은 제 2 보어(812)의 직경과 같거나 그 보다 작으나, 이러한 것으로 제한되는 것은 아니다. 제 2 보어(812)의 바닥(820)이 테이퍼 가공, 베벨 가공, 모따기 가공 또는 둥글게 가공되어, 오리피스 홀(814)로부터 제 2 보어(812)로 유동하는 가스의 압력 손실을 최소화한다. 또한, 하류 측부(804)에 대한 오리피스 홀(814)의 인접도(proximity)가 기판을 향하는 하류 측부(804) 및 제 2 보어(812)의 노출 표면적을 최소화하는 역할을 하기 때문에, 챔버 세정중에 불소에 노출되는 확산판(558)의 하류 영역이 감소되고, 그에 따라 증착 필름의 불소 오염 발생이 감소된다.
일반적으로, 오리피스 홀(814)은 제 1 홀(810)의 바닥(818)과 제 2 보어(812)의 바닥(820)을 결합한다. 일반적으로, 오리피스 홀(814)은 약 0.01 인치 내지 약 0.3 인치, 바람직하게는 약 0.01 인치 내지 약 0.1 인치의 직경을 가지고, 통상적으로 약 0.02 인치 내지 약 1.0 인치, 바람직하게는 약 0.02 인치 내지 약 0.5 인치의 길이를 가진다. 오리피스 홀(814)의 길이(834) 및 직경(또는 기타 기하학적 특성)은 플리넘(564)내의 배압의 주요 공급원이며, 그러한 배압은 가스 분배판(558)의 상류 측부(802)에 걸친 균일한 가스 분포를 촉진한다. 통상적으로, 오리피스 홀(814)은 다수의 가스 통로(562)들 사이에서 균일하게 구성되지만; 가스 분배판(558)의 다른 영역 보다 하나의 영역에서 보다 많은 가스가 유동하도록 하기 위해 오리피스 홀(814)을 통한 제한(restriction)이 가스 통로(562)들 마다 다르게 구성될 수 있다. 예를 들어, 오리피스 홀(814)들이 프로세싱 챔버(502)의 벽(506)에 접근할수록 가스 분배판(558)의 가스 통로내에서 보다 큰 직경 및/또는 보다 짧은 길이(834)를 가짐으로써, 천공 영역(516)의 엣지를 통해 보다 많은 가스가 유동하게 되고, 그에 따라 유리 기판의 둘레부에서의 증착 속도를 크게할 수 있다. 확산판의 두께는 약 0.8 인치 내지 약 3.0 인치, 바람직하게는 약 0.8 인치 내지 약 2.0 인치이다.
예를 들어 도 8에 도시된 디자인을 이용할 때, 도 8a에 도시된 바와 같이 직경 "D"(또는 도 8의 개구부 직경(836)), 깊이 "d"(또는 도 8의 길이(832)) 및 펼침 각도 "α"(또는 도 8의 펼침 각도(816))을 변경함으로써, 제 2 보어(또는 중공 음극 공동)(812)의 체적을 변화시킬 수 있다. 직경, 깊이 및/또는 펼침 각도를 변화시키면 제 2 보어(812)의 표면적 역시 변화될 것이다. 플라즈마 밀도가 높을수록 기판(540) 중심에서의 증착 속도가 커지는 것으로 믿어진다(도 5참조). 보어 깊이, 직경, 펼침 각도, 또는 그들 3가지 파라미터들의 조합을 확산판(558)의 엣지로부터 중심으로 갈수록 감소시킴으로써, 플라즈마 밀도가 기판의 중심 구역에서 감소되어 필름 두께 및 필름 특성의 균일성을 개선할 수 있다. 이러한 것을 나타내는 방법 및 그 결과가 전술한 "가스 확산기 홀 디자인에 의한 플라즈마 균일성 제어"라는 명칭의 미국 특허출원 제 10/889,683 호에 기재되어 있다.
또한, 기판상의 필름 두께의 단차형 변화를 피하기 위해서는, 확산판상의 중공 음극 공동 디자인에 대한 변화가 확산판의 표면에 걸쳐 점진적으로(gradual) 이루어져야 한다는 것도 알 수 있다. 그러나, 중공 음극 공동의 직경 및/또는 길이의 변화는 확산판의 중심으로부터 확산판의 엣지까지 반드시 완전히 연속적일 필요는 없고, 그 변화는 급격하지 않고(smooth) 점진적이면 된다. 예를 들어, 확산판상의 중공 음극 공동 디자인에 대한 충분히 점진적인 변화는, 영역에서 영역으로 넘어갈 때의 변화가 충분히 작다면, 동심적인 패턴으로 배치된 다수의 균일한 영역에 의해 달성될 수도 있을 것이다. 그러나, 확산판의 중심으로부터 확산판의 엣지 까지 중공 음극 공동의 전체적인 크기(체적 및/또는 표면적)가 커질 필요가 있다. 예를 들어, 1000 mm x 1200 mm 크기의 확산판의 경우에, 중공 음극 공동 절두원추형 깊이가 영역에서부터 영역으로 전환될 때 35%씩 증가하는 3개의 동심적인 영역들 만을 이용하는 것은 수용가능한 필름 두께 균일성을 얻기에 충분하지 않다는 것을 알 수 있다. 이 경우에, 각 영역에 대응하는 필름 두께의 급격한 변화를 피하기 위해서는, 영역들의 개체수가 많아져야 하고, 절두원추형 깊이에서 영역-대-영역 변화가 감소되어야 한다. 이용되는 영역들의 개체수 및 영역들 사이의 변화량은 확산판의 크기 및 교정되어야 하는 중심의 두꺼운 필름 불균일성의 크기를 포함하는 몇가지 요인들에 따라 달라지며, 소위 당업자는 해당 상황에 맞춰 결정할 수 있을 것이다.
도 9a는 확산판의 저면도(하류 측부에서 본 도면)를 도시한다. 확산판은 N개의 동심적인 영역으로 분할된다. 동심적 영역들은 내측 및 외측 경계 사이의 면적들로 규정되며, 그 경계들은 확산판의 전체적인 형상과 동일한 기하학적 형상을 갖는다. 각 영역내에서, 확산기 홀들은 서로 동일하다. 영역들은 정사각형, 장방형 또는 원형일 수 있다. 영역 1로부터 영역 N까지, 중공 음극 공동의 크기(체적 및/또는 표면적)가 점진적으로 커진다. 그러한 크기 증대는 중공 음극 공동 직경, 길이, 펼침 각도, 또는 상기 3개의 파라미터의 조합의 증대로 이루어질 수 있다.
하류 확산판 표면적당 중공 음극 공동의 크기(체적 및/또는 표면적)가 전체적으로 증대되기만 한다면, 확산판의 중심으로부터 엣지까지 중공 음극 공동의 직경 및/또는 길이를 증대시키는 것을 모든 제 2 보어(812)에 반드시 적용할 필요는 없다. 예를 들어, 일부 제 2 보어(812)들은 확산판을 통해 일정하게 유지될 수 있고, 나머지 제 2 보어(812)들에서 중공 음극 공동의 크기(체적 및/또는 표면적)가 점차적으로 증대될 수도 있다. 다른 예에서, 확산기 제 2 보어(812)들에서 중공 음극 공동의 크기가 점진적으로 증대되는 한편, 하류 확산판 표면적당 전체 중공 음극 공동 체적 및/또는 표면적을 보다 증대시키기 위해 확산판의 엣지에 일부 소형 중공 음극 공동(C1)이 배치될 수 있다. 이러한 예가 확산판의 저면도를 도시한 도 9b에 도시되어 있다. 또 다른 예에서, 도 9c에 도시된 확산기 바닥에서 볼 수 있는 바와 같이, 대부분의 중공 음극 공동이 확산판에 걸쳐 균일한 반면, 확산판의 엣지를 향해 몇 개의 큰 중공 음극 공동(C2)가 배치될 수 있다.
중공 음극 공동의 체적 또는 표면적 또는 양자의 조합을 확산판의 중심 구역으로부터 확산판의 엣지 구역으로 갈수록 점진적으로 증대시킴으로써 플라즈마 및 프로세스 균일성을 개선할 수 있다.
필름 증착 두께 및 특성 균일도를 변화시키기 위한 다른 방법은 확산기 홀들의 동일성을 유지하면서 확산판에 걸친 확산기 홀 밀도를 변화시키는 것이다. 확산기 홀들의 밀도는 하류 측부(804)를 가로지르는 보어(812) 홀들의 총 표면적을 측정 구역내의 확산판의 하류 측부(804)의 총 표면적으로 나눔으로써 계산된다. 확산기 홀의 밀도는 약 10% 내지 약 100%, 바람직하게는 30% 내지 약 100% 범위내에서 변화될 수 있다. SiN 필름 "돔 형상" 또는 두꺼운 중심부 문제를 줄이기 위해, 확산기 홀 밀도는 외측 구역에 비해 중심 구역에서 작아야 하며, 그에 따라 내측 구역내의 플라즈마 밀도를 줄일 수 있게 된다. 체적 밀도 및 표면적 밀도의 변 화에 대해 전술한 바와 같이, 균일하고 미끄러운(smooth) 증착 및 필름 특성 프로파일을 얻기 위해서는 내측 구역으로부터 외측 구역으로 음극 공동 밀도의 변화가 점진적이고 매끄러워야 한다. 도 9d는 중심에서의 낮은 값(구역 A)으로부터 엣지에서의 높은 값(구역 B)으로 확산 홀 밀도가 점진적으로 변화하는 것을 도시한다. 중심 구역내의 확산기 홀의 낮은 밀도는 중심 구역에서의 플라즈마 밀도를 낮출 것이고 SiN 필름의 "돔 형상" 문제를 감소시킬 것이다. 도 9d의 확산기 홀 구성은 단지 중심으로부터 엣지까지 확산기 홀 밀도가 증가하는 것을 예시하기 위한 것이다. 본 발명은 임의의 확산기 홀 구성 및 패턴에도 적용된다. 밀도 변화 개념이 또한 확산기 홀 디자인 변화와 조합되어 중심으로부터 엣지까지의 균일성을 개선할 수도 있을 것이다. 플라즈마 균일성을 달성하기 위해 가스 통로의 밀도가 변화된 경우에, 하류 단부에서의 중공 음극 공동의 간격이 0.6 인치를 초과할 수도 있다.
곡률을 가지는 가스 확산기
도 2, 3 및 4와 관련하여 전술한 바와 같이, α-Si 필름을 대형 기판상에 증착할 때, 중공 음극 구배 가스 확산판을 이용하는 것은 필름 두께 균일성 문제를 제거할 수 없을 것이다. 이는 SiN 필름 균일성 문제와 대조적인 것으로서, 그러한 SiN 필름의 경우에 HCG의 실시예를 적용한 가스 확산판의 이용에 의해 1,200,000 mm2 이상의 기판에서도 균일성 문제가 해결된다. 도 2, 3 및 4로부터, PECVD에 의해 증착된 비정질 실리콘 필름의 두께 프로파일이 전극 간격에 의해 강하게 영향을 받는다는 것을 알 수 있고; 전극 간격을 0.800 인치로부터 0.550 인치로 변경하면 중심에서 양호한 필름 특성을 가지는 두꺼운 중심으로부터 중심에서 열악한 필름 특성을 가지는 두꺼운 엣지로 프로파일을 변화시킨다는 것을 알 수 있다. 도 5 및 도 8을 참조하면, 전극 간격이 기판(540)과 확산판(558)의 하류 측부(804) 사이의 거리로서 규정된다. 대형 기판상의 α-Si 필름의 경우에, 기판 프로세싱 중에 보다 넓은 전극 간격에서 플라즈마 밀도가 PECVD 챔버의 중심 부근에서 높아지고, 그에 따라 필름 두께 및 필름 특성 프로파일을 변화시킨다.
PECVD 챔버내에서 좁은 전극 간격이 기판의 엣지에서 보다 바람직한 품질의 비정질 실리콘 필름을 형성하고 상대적으로 넓은 전극 간격은 기판의 중심에서 보다 바람직한 품질의 필름을 형성하기 때문에, 양 간격의 이점을 조합하는 확산판이 제공된다. 이는 넓은 간격과 좁은 간격을 전극 자체에 통합함으로써 달성된다. 즉, 기판의 중심 구역에 걸쳐 보다 넓은 전극 간격을 제공하고 기판의 엣지에 걸쳐 보다 좁은 전극 간격을 제공하도록 전극이 조정된다. 그에 따라, 전체 기판에 걸쳐 수용가능한 두께 및 필름 특성 균일성을 가지는 비정질 실리콘 필름이 약 1,200,000 mm2 이상의 기판에 증착될 수 있을 것이다. 이는, 처리되는 기판에 평행하고 실질적으로 평평하게 확산판/전극을 구성하는 대신에, 하류-스트림 또는 프로세스 체적부 쪽에 곡률을 가지는 확산판/전극을 구성함으로써 달성된다. 넓은 간격과 좁은 간격을 전극 자체에 통합함으로써, α-Si에 대한 프로세스 윈도우가 상당히 개선된다.
도 10은 PECVD 챔버에서 사용되도록 조정될 수 있고 곡률을 가지는 가스 확 산판(1001)의 일 실시예를 단면 도시한다. 간결한 도시를 위해 가스 통로(562)를 표시하지 않았다. 가스 확산판(1001)의 하류 측부(804)는 곡률을 가지며, 이러한 실시예에서 확산판(1001)의 상류 측부(802)는 실질적으로 평평하다. 그 대신에, 도 12 및 도 13과 관련하여 이하에서 설명하는 바와 같이, 예를 들어 확산기(1001)가 곡률 어닐링 설비(fixture)를 이용하는 방법에 의해 형성될 때 확산판(1001)의 상류 측부(802)도 곡률을 가질 수 있다. 또한, 하류 측부(804)의 곡면형 표면과 가상의 평평한 하류 측부(804a) 사이의 최대 변위(1004)를 도시하였다.
전술한 바와 같이, SiN 필름의 균일성 개선을 위해, 가스 확산기 표면에 걸쳐 중공 음극 공동 체적 밀도, 중공 음극 표면적 밀도 및/또는 중공 공동 밀도의 점진적 변화를 중공 음극 구배에 대해 실시할 필요가 있다. 이는 너무 큰 중공 음극 구배에 의해 유발되는 프로세스 체적부내의 플라즈마 밀도의 급격한 변화로 인해 SiN 필름의 불균일성을 방지한다. 기판에 걸쳐 가변적인 전극 간격을 가지도록 구성된 전극/확산판을 통해 비정질 실리콘의 필름 두께 및 필름 특성 균일도를 개선하는데 있어서도 동일한 원리가 적용될 것으로 생각한다. 그에 따라, 기판의 엣지 위쪽의 좁은 간격 구역으로부터 기판의 중심부 위쪽의 약간 더 넓은 간격 구역으로의 전환이 매끄럽고 점진적인 것이 바람직하다. 그에 따라, 바람직하게 확산기(1001)의 하류 측부(804)가 오목하며, 즉 엣지 주변에서 기판에 보다 더 가까우며 기판 중심의 고점(high point), 또는 정점(1005)으로 매끄럽게 전환된다.
일반적으로, 하류 측부(804)의 곡률은 대략 기판의 중심 지점의 위쪽에 위치하는 정점(1005)을 가지는 원호이다. 도 10에 도시된 바와 같이, 정점(1005)은 하 류 측부(804)의 곡면 표면과 가상의 평평한 하류 측부(804a)의 표면 사이의 최대 변위(1004)를 규정한다. 바람직한 실시예에서, 원호는 도 10에 도시된 바와 같이 원 또는 타원의 단편에 대응하는 곡률을 갖는다. 이는, 확산기의 엣지로부터 중심으로 전극 간격이 매끄럽게 전환되는 것을 보장하고, 또 형상이 용이하게 정량화(quantified)될 수 있게 한다. 다른 실시예에서, 곡면형 하류 측부(804)를 규정하는 다른 방법이 이용될 수 있다. 일 측면에서, 도 10a에 도시된 바와 같은 라인 단편이 원호형상을 나타낼 수도 있다. 이러한 측면에서, 확산기(1002)의 정점(1005)은 여전히 기판의 실질적인 중심 지점상에 위치되고, 전극 간격은 엣지로부터 중심으로 갈수록 커진다. 다른 측면에서, 원호는 라인, 원 또는 타원 이외에, 지수함수적, 2차함수적, 3차함수적, 사인함수적, 쌍곡선함수적 또는 기타 기하학적 함수와 같은 다른 수학적 함수의 단편에 의해 규정될 수 있다. 모든 측면에서, 정점(1005)은 기판의 대략적인 중심 지점상에 위치되고, 전극 간격은 확산기의엣지로부터 중심으로 갈수록 커진다.
다른 구성에서, 도 10b에 도시된 바와 같이, 하류 측부(804)의 전체 표면이 곡률을 가지지는 않는다. 확산기(1003)의 하류 측부(804)는 확산기(1003)의 엣지에 위치하는 실질적으로 평평한 구역(1007)을 포함한다. 본 발명의 다른 구성의 경우에, 하류 측부(804)의 곡면형 단편(1007a)은 라인, 원, 타원, 또는 전술한 바와 같은 기타 수학적 함수의 단편에 의해 규정될 수 있다. 전술한 기타 측면들에서와 같이, 정점(1005)이 기판의 대략적인 중심 지점상에 위치되고, 전극 간격은 확산기의 엣지로부터 중심으로 갈수록 커진다.
곡면형 하류 측부(804)의 표면과 가상의 평평한 하류 측부(804a)의 표면 사이의 최대 변위(1004)의 크기는 확산판(1001)의 크기에 비해 작다. 일 측면에서, 최대 변위(1004)는 확산기의 특성(characteristic) 길이의 약 3% 이하, 바람직하게는 약 0.01% 내지 약 0.30%이다. 장방형 또는 원형 확산기에 대해 변위(1004)를 비교하기 위해, 상기 특성 길이는 "등가 반경(equivalent radius)"으로 간주된다. 원형 확산기의 경우에, 등가 반경은 확산기의 반경과 같다. 정사각형 또는 장방형 확산기의 경우에, 등가 반경은 대각선의 절반이다. 그에 따라, 2200mm x 1870mm 확산기의 경우에, 등가 반경은 1440 mm이고, 가상의 평평한 하류 측부(804a)로부터 곡면성 하류 측부(804)의 최대 희망 변위(1004)는 약 4.3 mm이다.
비정질 실리콘 필름 증착 프로세스에 유리하도록 특정 PECVD 챔버에 하류 측부(804)의 곡률이 정밀하게 들어맞을 필요가 없다는 것이 중요하며; 필름의 두께 및 특성의 균일성을 최적화하기 위해서는 전극 형상에 관계없이 다른 프로세스 파라미터들의 프로세스 튜닝(tuning)이 필요하다는 것이 중요하다. 곡면형 하류 측부를 구비하는 전극을 이용하는 이점은 α-Si 필름 특성에 대한 프로세스 윈도우를 크게 확장시킨다는 것이며, 그에 따라 대형 기판에 고품질의 비정질 실리콘 필름을 형성하는 것을 보다 용이하게 하고 대량 생산에 있어서 보다 큰 신뢰성을 제공한다. 몇몇 경우에, 수용가능한 α-Si 필름을 형성하는데 있어서 곡률을 가지는 전극이 필수적으로 요구된다.
다른 실시예에서, 확산기의 중심 구역내의 보다 넓은 전극 간격이 기판 지지부의 곡률을 통해 달성된다. 일 측면에서, 도 10c에 도시된 바와 같이, 확산 판(1010)이 실질적으로 평평한 하류 측부(804)를 구비하고, 상기 기판 지지부(1011)는 최대 변위(1004)의 곡률을 가진다. 기판 지지부(1011)의 경우에, 도 10c 에 도시된 바와 같이, 최대 변위(1004)는 기판 지지부 곡면형 표면(1012)과 가상의 평평한 기판 지지부 표면(1012a)의 표면 사이의 거리로서 규정된다. 이러한 본 발명의 특징에 따라, α-Si 필름의 증착에 바람직한 넓은 중심 구역 간격 및 좁은 엣지 구역 간격이 가능해지고, 또 실질적으로 평평한 확산판을 이용할 수 있게 된다. 다른 측면에서, 확산판 및 기판 지지부가 각각 곡률을 가질 수 있고, 그러한 곡률들은 바람직한 넓은 중심 구역 간격 및 좁은 엣지 구역 간격이 달성되도록 선택된다. 이러한 특징이 도 10d에 개략적으로 도시되어 있다. 확산판(1013)의 곡면형 하류 표면(1016)은 기판 지지부(1014)의 기판 지지부 곡면형 표면(1015) 보다 더 큰 곡률을 가진다. 이로 인해, 중심 구역 전극 간격(1017)이 엣지 구역 전극 간격(1018) 보다 크게 된다. 그에 따라, 확산판 및 기판 지지부 모두가 곡률을 가질 때, 바람직한 넓은 중심 구역 간격 및 좁은 엣지 구역 간격이 달성된다.
도 11은 최대 변위(1004)가 0.100 인치인 곡률을 가지는 확산판을 이용하였을 때 2200 mm 의 넓은 유리 기판에 걸친 비정질 실리콘 필름의 두께 프로파일(1101 및 1102)을 도시한다. 필름 증착중의 전극 간격은 0.650 인치였다. 필름 증착 중의 프로세스 조건은: 10,000 sccm SiH4 가스 유동 속도, 36,000 sccm H2 가스 유동 속도, 10,000 W RF 플라즈마 전력, 2.5 Torr의 챔버 압력 및 340℃(내측 기판 히터) 내지 360℃(외측 기판 히터)의 기판 온도였다. 가로좌표는 2200 mm 길 이 기판의 프로파일을 따른 각각의 두께 측정치의 위치를 밀리미터로 나타낸다. 세로좌표는 기판에 증착된 비정질 실리콘 필름의 증착 속도를 Å/분으로 나타낸다. 두 개의 데이터 세트가 사각형 데이터 세트(1101) 및 다이아몬드형 데이터 세트(1102)로 도 11에 도시되어 있다. 데이터 세트(1101 및 1102)는 기판의 각 대각선을 따라 측정된 증착 속도 프로파일을 나타낸다. 두 개의 프로파일 사이의 차이는 무시할 수 있을 정도이고, 이는 두께 프로파일이 확산기의 길이에 걸쳐 일정하다는 것을 의미한다.
도 11의 필름 두께 프로파일을 도 2, 3 및 4의 프로파일과 정량적으로 비교하면, 실질적으로 평평한 HCG 확산기를 이용할 때에 비해 곡률을 가지는 HCG 확산기를 이용할 때 두께 균일성이 상당히 개선된다는 것을 알 수 있다. 그러한 개선 사항을 표 1에 기재하였다.
Figure 112007009364867-PCT00001
표 1은 기판상에 증착된 α-Si 필름의 두께 균일성 측정치를 나타낸다.
곡률을 가지는 확산기를 이용하여 증착된 필름은 평평한 확산판으로 증착된 필름 보다 빠른 증착 속도 및 개선된 균일성을 가진다.
일 측면에서, 곡면형 하류 측부를 가지고 공동 음극 구배가 없는 PECVD 가스 확산기가 이용된다. 이러한 확산기는 약 1,200,000 mm2 이상의 기판에 증착되는 α-Si 필름의 필름 특성 균일도 및 필름 두께 균일도를 개선한다. 다른 측면에서, 곡면형 하류 측부 및 중공 음극 구배를 가지는 PECVD 가스 확산기가 이용된다. 그러한 확산기는 SiN 또는 α-Si 필름의 프로세싱에 이용될 수 있을 것이다. 이는, PECVD 챔버의 제조 비용을 감소시키고 챔버 탄력성(flexibility)을 개선한다. 즉, 챔버가 가스 확산판의 변경 없이 SiN 또는 α-Si 필름의 증착에 이용될 수 있을 것이다.
제조 방법
약 1000 mm x 1200 mm 이상의 기판을 프로세싱하기 위한 확산판을 반복적으로 제조하기는 다소 어려움이 있을 것이다. 확산기에 따라 원하는 형상 및 형태에 큰 차이가 있을 것이다. 이는 곡면형 하류 표면을 가지는 확산기와 같이 확산판이 실질적으로 평평하지 않을 때 더욱 그러할 것이다. α-Si과 같은 일부 박막 필름의 경우에 필름 두께 균일성 및 필름 특성 균일성이 전극 간격에 크게 영향을 받기 때문에, 제조 후 확산기의 최종 곡률과 의도하는 형상 사이에 존재할 수 있는 편차를 최소화하는 것이 중요하다. 여러 챔버들(그러나, 명목상 동일하다) 사이에 존재할 수 있는 편차를 최소화하는 것도 중요하다. PECVD 챔버용 곡면형 확산기를 반복가능하게 그리고 저렴하게 제조할 수 있는 방법이 제공된다.
일 실시예에서, 가스 확산판의 하류 측부의 희망 곡률이 열적 프로세스에 의해 형성되며, 그러한 열적 프로세스에서 곡률 어닐링 설비의 형상에 일치되도록 확산판이 벤딩된다. 곡률 어닐링 설비는 희망 곡률로 가공된 금속판이며, 다수의 확산기를 벤딩하는데 이용된다.
도 12는 곡률 어닐링 설비를 이용하여 원하는 곡률로 확산판을 벤딩하기 위한 확산-어닐링 프로세스(1200)의 흐름도를 도시한다.
단계(1201). 확산판이 곡률 어닐링 설비와 정렬되고 그 위에 배치된다. 확산기의 하류 측부가 어닐링 설비와 접촉하여야 한다.
단계(1202). 어닐링 중량체에 의한 손상 및 오염을 방지하기 위해 확산판의 표면을 보호 물질로 덮는다. 보호 물질은 청정하고, 상대적으로 가요성(flexible) 및 내열성을 가져야 한다. 이용가능한 보호성 커버링의 일 예를 들면 양극처리된 알루미늄 시트가 있다.
단계(1203). 어닐링 프로세스 중에 확산기를 소성 변형시키는데 필요한 적절한 중량으로 확산판에 부하를 가한다. 어닐링 프로세스중에 확산판이 곡률 어닐링 설비의 형상과 완전히 일치되도록, 중량이 확산판에 걸쳐 분포되어야 한다. 일반적으로, 확산기의 중심 지점에 최초로 중량이 인가되어야 하고, 이어서 대각선 및 둘레를 따라 분포되어야 한다. 도 13은 두께가 1.44 인치인 2200 x 1870 mm 알루미늄 확산판 ("D")에 대한 중량체("W")들의 예시적인 배치를 나타낸다. 이용되는 중량체의 개체수 및 분포는 확산판의 크기, 두께 및 조성, 곡률 어닐링 설비의 곡률, 및 어닐링 프로세스의 지속시간 및 온도에 따라 달라질 수 있다. 그러나, 소위 당업자는 이러한 인자(factor)들을 용이하게 결정할 수 있을 것이다.
단계(1204). 확산판의 온도는 뒤틀림(warping)을 방지할 수 있을 정도로 충분히 느린 속도로 원하는 어닐링 온도까지 상승된다. 온도 상승률 및 어닐링 온도는 가변적인데, 이는 그것들이 확산판의 크기, 두께 및 조성, 곡률 어닐링 설비의 곡률, 및 어닐링 프로세스의 지속시간 및 온도에 따라 달라질 수 있기 때문이다. 그러나, 소위 당업자는 이러한 인자들을 용이하게 결정할 수 있을 것이다. 전술한 2200 mm x 1870 mm 알루미늄 확산기의 예를 들면, 적절한 온도 상승률은 시간당 약 40℃ 이하이고 어닐링 온도는 약 410℃ 이다.
단계(1205). 확산판은 어닐링된다. 즉, 확산판이 소성적으로 변형되어 곡률 어닐링 설비의 형상과 정확하게 일치되는데 필요한 시간 동안 어닐링 온도에서 확산판이 유지된다. 전술한 바와 같이, 원하는 어닐링 시간은 인자들의 개체수에 따라 변경될 수 있다. 이는, 소위 당업자에 의해 용이하게 결정될 수 있다. 전술한 예시적인 알루미늄 확산기에서, 어닐링 시간은 약 4시간 이상이다.
단계(1206). 뒤틀림을 방지할 수 있을 정도로 충분히 느린 속도로 확산판의 온도를 상온까지 감소시킨다. 전술한 바와 같이, 냉각 속도는 여러가지 확산판에 따라 달라질 수 있다. 전술한 예시적인 알루미늄 확산기의 경우에, 냉각 속도는 시간당 약 25℃ 이하이다.
단계(1207). 확산판이 상온에 도달한 후에, 중량체를 제거한다.
일 측면에서, 확산판에 중공 음극 구배가 적용되지 않았으며, 가스 통로들 및 중공 음극 공동들이 실질적으로 동일하다. 다른 측면에서, 확산판에는 곡면형 하류 표면 및 중공 음극 구배 모두가 적용된다. 바람직하게, 양자 모두에서, 가스 통로들의 가공이 어닐링 프로세스에 앞서서 실시되며, 그러한 가공은 실질적으로 평평한 표면에 대해 실시하는 것이 상당히 간단할 것이다. 비록 비용 측면에서 효과적이지는 않지만, 어닐링/벤딩 프로세스 후에 가스 통로 가공을 실시할 수도 있을 것이다. 가스 통로들의 가공은 수동적으로 또는 수치제어적으로(NC; numerically controlled) 이루어질 수 있으나, 가스 확산기판내에 가스 통로의 개체수가 많기 때문에, NC 가공이 일반적으로 바람직하다.
다른 실시예에서, 가스 확산판의 하류 측부의 희망 곡률은, 소위 당업계에 공지된 밀링 또는 선반-타입 금속 제거 프로세스를 이용하여, 확산기의 하류 측부상의 필요 물질을 가공에 의해 제거함으로써 얻어진다. 일 측면에서, 가스 통로의 가공은 곡면형 표면의 형성에 앞서서 이루어진다. 다른 측면에서, 가스 통로의 가공은 곡면형 표면의 형성 후에 실시된다. 가스 통로의 가공은 수동적으로 또는 수치제어적으로(NC) 실시될 수 있으나, 대형 확산판상의 가스 통로의 많은 개체수를 고려할 때, NC 가공이 일반적으로 바람직하다.
다른 실시예에서, 가스 통로들이 가스 확산판내로 먼저 가공되고, 이어서 제 1곡률이 가스 확산판의 하류 측부내로 가공되고, 마지막으로 확산판을 최종 곡률로 어닐링한다. 이러한 실시예는 SiN을 균일하게 증착하기 위한 중공 음극 구배 및 α-Si을 균일하게 증착하기 위한 실질적으로 오목한 곡률 모두를 포함하는 가스 확산판을 제조하기 위한 저렴한 방법을 제공한다. 통상적으로 동일한 가스 통로들이 실질적으로 평평한 표면내로 가공된다. 이는, 여러가지 깊이 및 직경의 가스 통로를 곡면형 표면내로 가공하는 것 보다, 비용면에서 상당히 효과적이고 반복가능하게 제조될 수 있다. 이어서, 소위 당업계에 공지된 밀링 또는 선반-타입 금속 제거 프로세스를 이용하여, 제 1곡률을 가스 확산판의 하류 측부로 가공하여 확산기의 표면에 걸쳐 원하는 중공 음극 공동 구배를 생성하며, 확산판의 중심 부근에서 보다 많은 재료를 제거함으로써, 초기에 동일한 가스 통로의 결과적인 중공 음극 공동 크기가 그에 따라 감소된다. 이어서, 전술한 어닐링/벤딩 프로세스를 통해 가스 확산판이 최종의 원하는 곡률로 형성된다. 이러한 마지막 단계가 필수적인데, 이는 원하는 중공 음극 구배를 생성하는데 필요한 곡률이 α-Si를 균일하게 증착하기 위해 요구되는 곡률과 거의 일치하지 않기 때문이다.
본 발명의 기술적 사상을 포함하는 몇가지 바람직한 실시예들을 도시하고 설명하였지만, 소위 당업자는 그러한 사상을 여전히 포함하는 다른 여러 실시예들을 용이하게 생각할 수 있을 것이다.
비록, 전술한 내용이 본 발명의 실시예들에 관한 것이지만, 특허청구범위에 기재된 발명의 범위내에서 본 발명의 다른 실시예들도 가능할 것이다.

Claims (60)

  1. 플라즈마 프로세싱 챔버용 가스 분배판 조립체로서:
    상류 측부 및 하류 측부를 구비하는 확산판을 포함하며,
    상기 하류 측부는 실질적으로 오목한 곡률을 가지는 가스 분배판 조립체.
  2. 제 1항에 있어서, 상기 확산판의 하류 측부의 곡률이 원호(arc)인 가스 분배판 조립체.
  3. 제 2항에 있어서, 상기 원호가 원 또는 타원의 단편에 대응하는 곡률을 가지는 가스 분배판 조립체.
  4. 제 1항에 있어서, 상기 확산판이 사각형인 가스 분배판 조립체.
  5. 제 1항에 있어서, 상기 확산판의 크기가 1,200,000 mm2 이상인 가스 분배판 조립체.
  6. 제 1항에 있어서, 상기 확산판의 하류 측부의 표면이 양극처리되지 않은 베어(bare) 알루미늄인 가스 분배판 조립체.
  7. 제 1항에 있어서, 상기 확산판의 곡면형 하류 측부의 표면의 최대 변위가 확산판의 등가 반경(equivalent radius)의 약 0.01% 내지 약 3% 인 가스 분배판 조립체.
  8. 플라즈마 프로세싱 챔버용 가스 분배판 조립체로서:
    실질적으로 오목한 곡률을 가지는 하류 측부, 양극처리되지 않은 베어 하류 표면, 및 1,200,000 mm2 이상의 크기를 가지는 확산판; 그리고
    약 0.1 mm 내지 약 30 mm인 확산판의 하류 측부의 곡률의 최대 변위를 포함하는 가스 분배판 조립체.
  9. 제 8항에 있어서, 상기 확산판이 중심 구역의 다수의 음극 공동 및 엣지 구역의 다수의 음극 공동을 더 포함하며, 상기 음극 공동 표면적, 음극 공동 체적 또는 음극 공동 밀도가 중심 구역으로부터 엣지 구역까지 증가되는 가스 분배판 조립체.
  10. 상류 측부 및 실질적으로 오목한 곡률을 가지는 하류 측부를 구비하는 확산판을 포함하는 플라즈마 프로세싱 챔버용 가스 분배판 조립체로서,
    상기 확산판은 상기 확산판의 중심 구역에 형성되고 상기 상류 측부 및 하류 측부와 유체 연통하는 제 1가스 통로, 및 상기 확산판의 엣지 구역에 형성되고 상기 상류 측부 및 하류 측부와 유체 연통하는 제 2가스 통로를 포함하며,
    상기 제 1가스 통로는 상기 상류 측부와 유체 연통하는 제 1오리피스; 및 상기 가스 통로의 하류 측부에 실질적으로 인접하여 위치되는 제 1중공 음극 공동을 포함하며,
    상기 제 1중공 음극 공동은 제 1음극 공동 표면적, 제 1음극 공동 체적, 및 상기 하류 측부의 표면과 일치하는 제 1음극 공동 개구부를 포함하며,
    상기 제 2가스 통로는 상기 상류 측부와 유체 연통하는 제 2오리피스; 및 상기 가스 통로의 하류 측부에 실질적으로 인접하여 위치되는 제 2중공 음극 공동을 포함하며,
    상기 제 2중공 음극 공동은 제 2음극 공동 표면적, 제 2음극 공동 체적, 및 상기 하류 측부의 표면과 일치하는 제 2음극 공동 개구부를 포함하며,
    상기 음극 공동 표면적 및/또는 음극 공동 체적이 제 1가스 통로로부터 제 2가스 통로까지 증대되는 가스 분배판 조립체.
  11. 제 10항에 있어서, 상기 제 1중공 음극 공동이 제 1음극 공동 펼침 각도, 제 1음극 공동 깊이, 및 제 1음극 공동 개구부 직경을 더 포함하고,
    상기 제 2중공 음극 공동이 제 2음극 공동 펼침 각도, 제 2음극 공동 깊이, 및 제 2음극 공동 개구부 직경을 더 포함하며,
    하나 이상의 특성(attributes)이 제 2가스 통로에 비해 제 1가스 통로에서 증대되고, 하나 이상의 특성이 음극 공동 펼침 각도, 음극 공동 깊이, 음극 공동 표면적, 음극 공동 체적 또는 음극 공동 개구부 직경으로 이루어진 그룹으로부터 선택되는 가스 분배판 조립체.
  12. 제 11항에 있어서, 상기 제 1음극 공동 직경이 약 0.1 인치 이상이고, 상기 제 2음극 공동 직경이 약 1.0 인치 이하인 가스 분배판 조립체.
  13. 제 11항에 있어서, 상기 제 1음극 공동 깊이가 약 0.1 인치 이상이고, 상기 제 2음극 공동 깊이가 약 2.0 인치 이하인 가스 분배판 조립체.
  14. 제 11항에 있어서, 상기 제 1음극 공동 펼침 각도가 약 10 도 이상이고, 상기 제 2음극 공동 펼침 각도가 약 50도 이하인 가스 분배판 조립체.
  15. 제 10항에 있어서, 상기 중심 구역이 상기 상류 측부 및 하류 측부와 유체 연통하는 다수의 가스 통로 및 제 1중공 음극 공동 밀도를 더 포함하며,
    상기 엣지 구역이 상기 상류 측부 및 하류 측부와 유체 연통하는 다수의 가스 통로 및 제 2중공 음극 공동 밀도를 더 포함하며,
    상기 중심 구역의 다수의 가스 통로중 각각의 가스 통로가 상기 하류 측부에 배치된 음극 공동 표면적 및 상기 하류 측부에 배치된 음극 공동 체적을 포함하며,
    상기 엣지 구역의 다수의 가스 통로중 각각의 가스 통로가 상기 하류 측부에 배치된 음극 공동 표면적 및 상기 하류 측부에 배치된 음극 공동 체적을 포함하는 가스 분배판 조립체.
  16. 제 15항에 있어서, 상기 제 1중공 음극 공동 밀도가 상기 제 2중공 음극 공동 밀도와 동일하고, 상기 통로들 사이의 간격이 약 0.6 인치 이하인 가스 분배판 조립체.
  17. 제 15항에 있어서, 상기 제 1중공 음극 공동 밀도가 제 2중공 음극 공동 밀도 보다 작은 가스 분배판 조립체.
  18. 제 10항에 있어서, 상기 확산판이 사각형인 가스 분배판 조립체.
  19. 제 10항에 있어서, 상기 확산판의 크기가 1,200,000 mm2 이상인 가스 분배판 조립체.
  20. 제 15항에 있어서, 상기 중심 구역 및 엣지 구역내의 다수의 가스 통로의 음극 공동 표면적 또는 음극 공동 체적의 크기가 상기 확산판의 중심 구역으로부터 엣지 구역으로 점진적으로 커지는 가스 분배판 조립체.
  21. 제 17항에 있어서, 상기 확산판이 상기 중심 구역과 상기 엣지 구역 사이에 배치되는 제 3구역, 및 제 3중공 음극 공동 밀도를 더 포함하며,
    상기 제 3구역은 상기 상류 측부 및 하류 측부와 유체 연통하는 다수의 가스 통로를 포함하는 가스 분배판 조립체.
  22. 제 21항에 있어서, 상기 제 1중공 음극 공동 밀도가 상기 제 2중공 음극 공동 밀도의 약 10% 이상인 가스 분배판 조립체.
  23. 제 10항에 있어서, 상기 확산판의 하류 측부의 표면이 양극처리되지 않은 베어 알루미늄인 가스 분배판 조립체.
  24. 제 10항에 있어서, 상기 확산판의 곡면형 하류 측부의 표면의 최대 변위가 확산판의 등가 반경의 약 0.01% 내지 약 3%인 가스 분배판 조립체.
  25. 플라즈마 프로세싱 챔버로서:
    상류 측부 및 하류 측부를 구비하고, 크기가 약 1,200,000 mm2 이상인 확산판;
    상기 확산판의 하류 측부에 인접한 기판 지지부; 및
    상기 확산판과 상기 기판 지지부 사이의 간격을 포함하며,
    상기 간격은 엣지 구역 보다 중심 구역에서 보다 큰 플라즈마 프로세싱 챔버.
  26. 제 25항에 있어서, 상기 확산판이 곡률을 가지는 플라즈마 프로세싱 챔버.
  27. 제 25항에 있어서, 상기 기판 지지부가 곡률을 가지는 플라즈마 프로세싱 챔버.
  28. 제 25항에 있어서, 상기 확산판이 제 1곡률을 가지고, 상기 기판 지지부가 제 2곡률을 가지는 플라즈마 프로세싱 챔버.
  29. 제 25항에 있어서, 상기 확산판이 중심 구역내의 다수의 음극 공동 및 엣지 구역내의 다수의 음극 공동을 더 포함하며,
    상기 음극 공동 표면적, 음극 공동 체적 또는 음극 공동 밀도가 상기 중심 구역으로부터 상기 엣지 구역으로 갈수록 커지는 플라즈마 프로세싱 챔버.
  30. 제 29항에 있어서, 상기 제 1중공 음극 공동 밀도가 상기 제 2중공 음극 공동 밀도 보다 작은 플라즈마 프로세싱 챔버.
  31. 제 25항에 있어서, 상기 확산판이 사각형인 플라즈마 프로세싱 챔버.
  32. 제 25항에 있어서, 상기 확산판의 하류 측부의 표면이 양극처리되지 않은 베어 알루미늄인 플라즈마 프로세싱 챔버.
  33. 제 25항에 있어서, 상기 확산판의 곡면형 하류 측부의 표면의 최대 변위가 상기 확산판의 등가 반경의 약 0.01% 내지 약 3%인 플라즈마 프로세싱 챔버.
  34. 플라즈마 프로세싱 챔버용 가스 확산기 제조 방법으로서:
    희망 곡률의 표면을 구비하는 어닐링 설비상에 가스 확산기 형성에 이용되는 판(plate)을 위치시키는 단계;
    상기 판의 하류 표면이 상기 어닐링 설비의 표면의 곡률과 대체적으로 일치하도록 상기 판을 변형시키는 단계;
    상기 판의 변형에 의해 상기 판내에 유도된 응력이 해소(relax)될 수 있는 희망 시간동안 희망 온도까지 상기 판을 가열하는 단계; 및
    상기 판을 상온까지 냉각하는 단계를 포함하는 가스 확산기 제조 방법.
  35. 제 34항에 있어서, 상기 상류 측부 및 하류 측부와 유체 연통하는 다수의 가스 통로를 상기 판내에 형성하는 단계를 더 포함하며,
    상기 각각의 가스 통로는 상기 하류 측부에 배치된 중공 음극 공동을 포함하며,
    상기 각각의 가스 통로의 중공 음극 공동은 음극 공동 체적 및 음극 공동 표면적을 포함하는 가스 확산기 제조 방법.
  36. 제 35항에 있어서, 상기 다수의 가스 통로의 음극 공동 체적 또는 음극 공동 표면적이 판의 중심으로부터 엣지까지 증가하는 가스 확산기 제조 방법.
  37. 제 34항에 있어서, 상기 다수의 가스 통로를 형성하는 단계는 판내의 가스 통로의 밀도가 판의 중심으로부터 엣지까지 증가하는 다수의 가스 통로를 형성하는 것을 더 포함하는 가스 확산기 제조 방법.
  38. 제 34항에 있어서, 상기 판을 가열하는 단계가:
    뒤틀림을 방지할 수 있는 느린 속도로 판의 온도를 상승시키는 단계; 및
    유도된 응력을 완화시킬 수 있는 긴 시간동안 그리고 높은 온도에서 상기 판의 온도를 일정하게 유지하는 단계를 포함하며,
    상기 판을 냉각하는 단계는:
    뒤틀림을 방지할 수 있는 느린 속도로 판을 상온으로 냉각하는 단계를 포함하는 가스 확산기 제조 방법.
  39. 제 34항에 있어서, 상기 판을 변형시키는 단계가:
    상기 판의 표면을 보호하는 단계; 및
    가열 중에 상기 판이 곡률 어닐링 설비의 형상에 일치될 수 있도록, 가열에 앞서서 상기 판상에 적절한 중량을 분배하는 단계를 포함하는 가스 확산기 제조 방법.
  40. 제 34항에 있어서, 상기 판이 알루미늄으로 제조되고,
    상기 판을 가열하는 단계가:
    시간당 약 40℃의 속도로 판의 온도를 증가시키는 단계; 및
    상기 판을 약410℃의 온도에서 약 4시간동안 유지하는 단계를 포함하며,
    상기 판을 냉각하는 단계가:
    시간당 약 25℃의 속도로 상기 판을 상온으로 냉각시키는 단계를 포함하는 가스 확산기 제조 방법.
  41. 제 34항에 있어서, 상기 판의 곡면형 하류 측부의 표면의 최대 변위가 상기 판의 등가 반경의 약 0.01% 내지 약 3%인 가스 확산기 제조 방법.
  42. 제 34항에 있어서, 상기 곡률이 실질적으로 오목하고 원 또는 타원의 단면에 대응하는 가스 확산기 제조 방법.
  43. 제 34항에 있어서, 상기 판의 두께가 약 0.8 인치 내지 약 3.0 인치인 가스 확산기 제조 방법.
  44. 제 34항에 있어서, 상기 판이 사각형인 가스 확산기 제조 방법.
  45. 제 43항에 있어서, 상기 판의 크기가 1,200,000 mm2 이상인 가스 확산기 제조 방법.
  46. 제 34항에 있어서, 상기 판의 하류 측부의 표면이 양극처리되지 않은 베어 알루미늄인 가스 확산기 제조 방법.
  47. 플라즈마 프로세싱 챔버용 가스 확산기 제조 방법으로서:
    판의 하류 측부내에 곡률을 가공하는 단계를 포함하며,
    상기 하류 측부의 곡률이 원호인 가스 확산기 제조 방법.
  48. 제 47항에 있어서, 상류 측부 및 하류 측부와 유체 연통하는 다수의 가스 통로를 상기 판내에 형성하는 단계를 더 포함하며,
    상기 판내의 각각의 가스 통로는 상기 하류 측부에 배치된 중공 음극 공동을 포함하는 가스 확산기 제조 방법.
  49. 제 48항에 있어서, 상기 판의 상류 측부와 하류 측부 사이에서 판내에 다수 의 가스 통로를 생성하는 단계가 상기 판의 하류 측부내에 곡률을 가공하는 단계 이전에 실시되는 가스 확산기 제조 방법.
  50. 플라즈마 프로세싱 챔버용 가스 확산기 제조 방법으로서:
    가스 확산기 형성에 이용되는 판의 상류 측부 및 하류 측부와 유체 연통하는 다수의 가스 통로를 상기 판내에 형성하는 단계로서, 상기 판내의 각각의 가스 통로가 상기 하류 측부에 배치된 중공 음극 공동을 포함하는, 다수의 가스 통로 형성 단계;
    판의 하류 측부내로 실질적으로 오목한 곡률을 가공하는 단계;
    희망 곡률의 표면을 가지는 어닐링 설비상에 상기 판을 위치시키는 단계;
    상기 판의 하류 표면이 상기 어닐링 설비의 표면의 곡률과 대체적으로 일치하도록 상기 판을 변형시키는 단계;
    상기 판의 변형에 의해 상기 판내에 유도된 응력이 해소될 수 있는 희망 시간동안 희망 온도까지 상기 판을 가열하는 단계; 및
    상기 판을 상온까지 냉각하는 단계를 포함하는 가스 확산기 제조 방법.
  51. 기판에 박막 필름을 증착하는 방법으로서:
    프로세싱 챔버의 프로세싱 구역내에 장착된 기판 지지부상에 기판을 위치시키는 단계;
    확산판을 통해서 기판 지지부상에 지지된 기판을 향해 프로세스 유체를 유동 시키는 단계로서, 상기 확산판이 상류 측부 및 하류 측부를 구비하고, 상기 하류 측부가 실질적으로 오목한 곡률을 구비하는, 프로세스 유체를 유동시키는 단계; 및
    상기 확산판의 하류 측부와 기판 지지부 사이에 플라즈마를 생성하는 단계를 포함하는 박막 필름 증착 방법.
  52. 제 51항에 있어서, 상기 확산판의 상류 측부 및 하류 측부와 유체 연통하는 다수의 가스 통로를 상기 확산판이 더 포함하며, 상기 각각의 가스 통로가 상기 하류 측부와 유체 연통하는 중공 음극 공동을 포함하는 박막 필름 증착 방법.
  53. 제 51항에 있어서, 상기 확산판이 사각형인 박막 필름 증착 방법.
  54. 제 51항에 있어서, 상기 확산판의 크기가 1,200,000 mm2 이상인 박막 필름 증착 방법.
  55. 제 51항에 있어서, 상기 확산판의 곡면형 하류 측부의 표면의 최대 변위가 상기 확산판의 등가 반경의 약 0.01% 내지 3%인 박막 필름 증착 방법.
  56. 제 52항에 있어서, 원하는 박막 필름 두께 및 특성 균일도를 얻기 위해 필요에 따라 확산판에 걸쳐 중공 음극 공동의 체적, 표면적, 또는 밀도를 변화시키는 단계를 더 포함하는 박막 필름 증착 방법.
  57. 제 51항에 있어서, 원하는 박막 필름 두께 및 특성 균일도를 얻기 위해 필요에 따라 상기 가스 확산판의 곡면형 하류 측부의 곡률을 조정하는 단계를 포함하는 박막 필름 증착 방법.
  58. 제 51항에 있어서, 상기 프로세스 유체가 실리콘-함유 유체인 박막 필름 증착 방법.
  59. 제 51항에 있어서, 상기 프로세스 유체가 실리콘-함유 가스인 박막 필름 증착 방법.
  60. 제 51항에 있어서, 상기 프로세스 유체가 기화된 실리콘-함유 액체인 박막 필름 증착 방법.
KR1020077002450A 2004-07-12 2005-07-07 가스 확산기 곡률에 의한 플라즈마 균일성 제어 KR20070039931A (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US58717304P 2004-07-12 2004-07-12
US60/587,173 2004-07-12
US10/962,936 2004-10-12
US10/962,936 US20050233092A1 (en) 2004-04-20 2004-10-12 Method of controlling the uniformity of PECVD-deposited thin films
US11/021,416 2004-12-22
US11/021,416 US7785672B2 (en) 2004-04-20 2004-12-22 Method of controlling the film properties of PECVD-deposited thin films
US11/143,506 US20060005771A1 (en) 2004-07-12 2005-06-02 Apparatus and method of shaping profiles of large-area PECVD electrodes
US11/143,506 2005-06-02

Publications (1)

Publication Number Publication Date
KR20070039931A true KR20070039931A (ko) 2007-04-13

Family

ID=35541937

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077002450A KR20070039931A (ko) 2004-07-12 2005-07-07 가스 확산기 곡률에 의한 플라즈마 균일성 제어

Country Status (5)

Country Link
EP (1) EP1789605A2 (ko)
JP (1) JP2008506273A (ko)
KR (1) KR20070039931A (ko)
CN (1) CN101871099B (ko)
WO (1) WO2006017136A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101046471B1 (ko) * 2007-07-20 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 슬릿 밸브 보상기구를 갖는 확산 판
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR20150000597U (ko) * 2013-07-29 2015-02-06 어플라이드 머티어리얼스, 인코포레이티드 에지 균일성을 개선하기 위한 가스 확산기 홀 설계

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US20090120366A1 (en) * 2007-01-29 2009-05-14 Sumitomo Electric Industries, Ltd. Microwave plasma cvd device
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
JP5713842B2 (ja) * 2011-08-24 2015-05-07 富士フイルム株式会社 成膜装置
CN103266310B (zh) * 2013-05-24 2015-05-20 上海和辉光电有限公司 分散板及具有该分散板的镀膜装置
US10358722B2 (en) * 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US20190006154A1 (en) * 2017-06-28 2019-01-03 Chaolin Hu Toroidal Plasma Chamber
KR20200094781A (ko) * 2017-12-04 2020-08-07 어플라이드 머티어리얼스, 인코포레이티드 부분적으로 양극산화된 샤워헤드

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS644481A (en) * 1987-06-24 1989-01-09 Minoru Sugawara Parallel-plate discharge electrode
JPH01149964A (ja) * 1987-12-04 1989-06-13 Furukawa Electric Co Ltd:The プラズマcvd装置用シャワー電極
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6344420B1 (en) * 1999-03-15 2002-02-05 Kabushiki Kaisha Toshiba Plasma processing method and plasma processing apparatus
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US9200368B2 (en) 2004-05-12 2015-12-01 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US10262837B2 (en) 2004-05-12 2019-04-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US10312058B2 (en) 2004-05-12 2019-06-04 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR101046471B1 (ko) * 2007-07-20 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 슬릿 밸브 보상기구를 갖는 확산 판
KR101383856B1 (ko) * 2007-07-20 2014-04-10 어플라이드 머티어리얼스, 인코포레이티드 슬릿 밸브 보상기구를 갖는 확산 판
KR20150000597U (ko) * 2013-07-29 2015-02-06 어플라이드 머티어리얼스, 인코포레이티드 에지 균일성을 개선하기 위한 가스 확산기 홀 설계
KR20190001394U (ko) * 2013-07-29 2019-06-11 어플라이드 머티어리얼스, 인코포레이티드 에지 균일성을 개선하기 위한 가스 확산기 홀 설계

Also Published As

Publication number Publication date
CN101871099B (zh) 2013-09-25
JP2008506273A (ja) 2008-02-28
EP1789605A2 (en) 2007-05-30
CN101871099A (zh) 2010-10-27
WO2006017136A3 (en) 2006-09-21
WO2006017136A2 (en) 2006-02-16

Similar Documents

Publication Publication Date Title
US8074599B2 (en) Plasma uniformity control by gas diffuser curvature
US10312058B2 (en) Plasma uniformity control by gas diffuser hole design
KR20070039931A (ko) 가스 확산기 곡률에 의한 플라즈마 균일성 제어
CN100575547C (zh) 通过气体分散器的等离子体均匀度控制
US7785672B2 (en) Method of controlling the film properties of PECVD-deposited thin films
US9263298B2 (en) Plasma etching apparatus and plasma etching method
JP3122484U (ja) 大型pecvdシステム用の多様な大きさの孔を有するバッフルプレートによるガス供給の均一性の向上
US20080283086A1 (en) Substrate processing apparatus and cleaning method therefor
CN101443474B (zh) 改善大面积基板均匀性的方法和设备
KR20020033441A (ko) 반도체 기판 지지 장치
TW200948214A (en) Method and apparatus for controlling plasma uniformity
JPH11233292A (ja) プラズマ処理装置
US20070202636A1 (en) Method of controlling the film thickness uniformity of PECVD-deposited silicon-comprising thin films
CN110846636A (zh) 用于处理腔室的涂覆材料
US11929278B2 (en) Low impedance current path for edge non-uniformity tuning
TWI840341B (zh) 用於基板支撐件的處理套組
WO2023069227A1 (en) Dummy hole and mesh patch for diffuser
CN118119733A (zh) 用于扩散器的虚设孔和网孔补片
WO2002058127A1 (fr) Dispositif de traitement au plasma, deflecteur et procede de fabrication dudit deflecteur

Legal Events

Date Code Title Description
A201 Request for examination
E601 Decision to refuse application