KR101046471B1 - 슬릿 밸브 보상기구를 갖는 확산 판 - Google Patents

슬릿 밸브 보상기구를 갖는 확산 판 Download PDF

Info

Publication number
KR101046471B1
KR101046471B1 KR1020080053726A KR20080053726A KR101046471B1 KR 101046471 B1 KR101046471 B1 KR 101046471B1 KR 1020080053726 A KR1020080053726 A KR 1020080053726A KR 20080053726 A KR20080053726 A KR 20080053726A KR 101046471 B1 KR101046471 B1 KR 101046471B1
Authority
KR
South Korea
Prior art keywords
hollow cathode
cathode cavity
gas passage
plasma
gas
Prior art date
Application number
KR1020080053726A
Other languages
English (en)
Other versions
KR20090009698A (ko
Inventor
수 영 최
존 엠. 화이트
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090009698A publication Critical patent/KR20090009698A/ko
Application granted granted Critical
Publication of KR101046471B1 publication Critical patent/KR101046471B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 일반적으로, PECVD 챔버용 확산 판을 포함한다. 상기 확산 판은 복수의 중공형 캐소드 공동을 포함한다. 처리 챔버 내의 슬릿 밸브에 가장 가깝게 위치되는 확산 판의 에지는 슬릿 밸브에 대한 접근성을 보상하도록 조절되는 상기 중공형 캐소드 공동의 형상 및/또는 크기를 가질 수 있다. 슬릿 밸브에 가장 가깝게 중공형 캐소드 공동의 형상 및/또는 크기를 조절함으로써, 확산 판은 처리 챔버 전반에 걸친 플라즈마의 균일한 분포를 가능하게 하며, 그에 따라 PECVD 공정 중에 기판 상에 균일한 필름 두께를 제공할 수 있다.

Description

슬릿 밸브 보상기구를 갖는 확산 판 {DIFFUSER PLATE WITH SLIT VALVE COMPENSATION}
본 발명의 실시예들은 일반적으로, 챔버 슬릿 밸브에 의한 플라즈마 불균일성을 보상하도록 설계된 화학 기상 증착(CVD)용 확산 판에 관한 것이다.
플라즈마 강화 화학 기상 증착(PECVD)은 반도체 기판들 상에 다수의 필름을 증착하는데 오랫동안 사용되어 온 증착 방법이다. PECVD는 최근에, 태양 전지판, 평판 디스플레이 기판, 및 대형 박막 트랜지스터 기판과 같은 대형 기판 상에 필름을 증착하는데 사용된다. 시장의 초점은 계속해서 기판의 크기가 증가되더라도 평판 디스플레이의 비용은 감소시키고자 하는 것이다. 1 제곱 미터 이상의 기판은 평판 디스플레이 공정에 일반적이지 않다.
가스 확산 판은 처리 챔버 전반에 걸친 증착 플라즈마의 균일한 분배를 보장하는데 사용될 수 있다. 플라즈마의 균일한 분배는 기판 전체에 걸친 필름 균일도에 도움을 줄 것이다. 그러나, 기판 크기가 증가되면서, 처리 챔버 내의 플라즈마의 균일한 분배를 얻는 것에 도전을 받게 되었다. 그러므로, 본 기술 분야에는 개선된 가스 확산 판이 필요하게 되었다.
본 발명은 일반적으로, PECVD 챔버용 확산 판을 포함한다. 상기 확산 판은 복수의 중공형 캐소드 공동을 포함한다. 처리 챔버 내의 슬릿 밸브에 가장 가깝게 위치되는 확산 판의 에지는 슬릿 밸브에 대한 접근성을 보상하도록 조절되는 상기 중공형 캐소드 공동의 형상 및/또는 크기를 가질 수 있다. 슬릿 밸브에 가장 가깝게 중공형 캐소드 공동의 형상 및/또는 크기를 조절함으로써, 확산 판은 처리 챔버 전반에 걸친 플라즈마의 균일한 분포를 가능하게 하며, 그에 따라 PECVD 공정 중에 기판 상에 균일한 필름 두께를 제공할 수 있다.
일 실시예에서, 가스 분배 판 조립체가 설명된다. 상기 조립체는 상류 측면, 하류 측면, 처리 챔버 내에서 슬릿 밸브에 인접하게 배열되는 제 1 에지, 중앙부, 및 제 2 에지를 갖는 확산 판 소자; 및 상기 상류 측면과 하류 측면 사이로 통과하는 복수의 가스 통로를 포함한다. 복수의 가스 통로는 확산 판 소자의 제 1 에지에 인접 배열되는 제 1 중공형 캐소드 공동을 갖춘 제 1 가스 통로, 확산 판 소자의 중앙부에 인접 배열되는 제 2 중공형 캐소드 공동을 갖춘 제 2 가스 통로, 및 제 2 에지에 인접 배열되는 제 3 중공형 캐소드 공동을 갖춘 제 3 가스 통로를 포함하며, 상기 제 1 중공형 캐소드 공동, 제 2 중공형 캐소드 공동, 및 제 3 중공형 캐소드 공동은 상이한 체적을 가진다.
다른 실시예에서, 플라즈마 처리 챔버가 설명된다. 상기 챔버는 복수의 벽을 갖춘 챔버 몸체, 상기 복수의 벽들 중의 적어도 하나에 배열되는 하나 또는 그 이상의 슬릿 밸브, 및 확산 판을 포함한다. 상기 확산 판은 상류 측면, 하류 측면, 하나 또는 그 이상의 슬릿 밸브 중의 하나의 슬릿 밸브에 인접하게 배열되는 제 1 에지, 중앙부, 및 제 2 에지를 갖는 확산 판 소자; 및 상기 상류 측면과 하류 측면 사이로 통과하는 복수의 가스 통로를 포함한다. 복수의 가스 통로는 확산 판 소자의 제 1 에지에 인접 배열되는 제 1 중공형 캐소드 공동을 갖춘 제 1 가스 통로, 확산 판 소자의 중앙부에 인접 배열되는 제 2 중공형 캐소드 공동을 갖춘 제 2 가스 통로, 및 제 2 에지에 인접 배열되는 제 3 중공형 캐소드 공동을 갖춘 제 3 가스 통로를 포함하며, 상기 제 1 중공형 캐소드 공동, 제 2 중공형 캐소드 공동, 및 제 3 중공형 캐소드 공동은 상이한 체적을 가진다.
또 다른 실시예에서, 플라즈마 처리 방법이 설명된다. 상기 방법은 슬릿 밸브에 인접 배열되는 제 1 가스 통로가 확산 판의 중앙부에 인접 배열되는 제 2 가스 통로 및 상기 확산 판의 에지에 인접 배열되는 제 3 가스 통로와 상이하게 배치 및 배열되는 복수의 가스 통로를 갖춘 확산 판을 통해 처리 가스를 유동시키는 단계, 상기 확산 판을 편향시키는 단계, 및 상기 가스 통로 내의 플라즈마를 점화시키는 단계를 포함한다.
본 발명의 전술한 특징들이 더욱 상세히 이해될 수 있는 방식으로, 서두에서 간단히 요약된 본 발명에 대해 첨부 도면에 도시되어 있는 몇몇 실시예들를 참조하여 더욱 상세한 설명할 것이다. 그러나, 첨부 도면은 단지 본 발명의 통상적인 실시예만을 도시하는 것이므로 본 발명의 범주를 한정하는 것으로 이해해서는 않 되며 다른 균등한 효과를 갖는 실시예들이 있을 수 있다고 이해해야 한다.
본 발명은 일반적으로, PECVD 챔버용 확산 판을 포함한다. 확산 판은 복수의 중공형 캐소드 공동을 포함한다. 처리 챔버 내의 슬릿 밸브에 가장 가깝게 놓이는 확산 판의 에지는 슬릿 밸브에 대한 접근성을 보상하도록 조절되는 상기 중공형 캐소드 공동의 형상 및/또는 크기를 가질 수 있다. 슬릿 밸브에 가장 가깝게 중공형 캐소드 공동의 형상 및/또는 크기를 조절함으로써, 확산 판은 처리 챔버 전반에 걸친 플라즈마의 균일한 분포를 가능하게 하며, 그에 따라 PECVD 공정 중에 기판 상에 균일한 필름 두께를 제공할 수 있다.
본 발명은 미국 산타 클라라 소재의 어플라이드 머티리얼즈 인코포레이티드의 분사인 AKT로부터 이용가능한 PECVD 시스템과 같은 대형 기판을 처리하도록 구성된 PECVD 시스템을 참조하여 이후에 예시적으로 설명될 것이다. 본 발명은 또한, 다른 제작자들에 의해 제작된 처리 시스템에도 이용될 수 있다.
도 1은 본 발명의 일 실시예에 따른 처리 챔버(100)의 개략적인 횡단면도이다. 처리 챔버(100)는 리드(102)와 벽(108)을 갖춘 챔버 몸체를 포함한다. 적어도 하나의 벽(108) 내에는 처리 공간(116)으로 기판(106)의 삽입을 허용하며 처리 공간(116)으로부터 기판(106)의 제거를 허용하기 위한 하나 또는 그 이상의 슬릿 밸브(122)가 제공된다. 처리 공간(116)은 슬릿 밸브(122), 챔버 벽(108), 기판(106), 및 확산 판(110)에 의해 에워 싸일 수 있다. 일 실시예에서, 확산 판(110)은 전원에 의해 바이어스(bias)될 수 있다. 기판(106)은 필요에 따라 기판(106)을 상승 및 하강시키도록 상하로 이동될 수 있는 서셉터(104) 상에 배열될 수 있다.
가스는 확산 판(110)과 플레넘(114)으로 지칭되는 리드(112)와의 사이에 있 는 영역으로 유입될 수 있다. 가스는 확산 판의 상류 측면(118)으로부터 하류 측면(120)을 통해 연장하는 가스 통로(112)로 인해 플래넘(114) 내에 균일하게 분포될 수 있다. 이후에 설명하는 바와 같이, 가스 통로는 플레넘 내에 실질적으로 균일한 가스 압력을 형성하도록 구성될 수 있다.
도 2a는 본 발명의 일 실시예에 따른 슬릿 밸브(202)와 관련된 확산 판(200)의 개략적인 평면도이다. 도 2b는 도 2a의 A-A 선을 따라 취한 개략적인 횡단면도이다. 확산 판(200)은 플레넘에 인접한 상류 측면(204)과 처리 중에 처리 공간에 인접하는 하류 측면(206)을 포함한다. 복수의 가스 통로(208a-208c)는 상류 측면(204)으로부터 하류 측면(206) 사이로 연장하는 확산 판(200) 내에 위치될 수 있다.
각각의 가스 통로(208a-208c)는 상부 보어(210a-210c), 쵸오크(212a-212c), 및 중공형 캐소드 공동(214a-214c)을 포함할 수 있다. 상부 보어(210a-210c)는 확산 판(200)의 상류 측면(204)과 결합하는 반면에, 중공형 캐소드 공동(214a-214c)은 확산 판(200)의 하류 측면(206)과 결합할 수 있다. 쵸오크(212a-212c)는 중공형 캐소드 공동(214a-214c)과 상부 보어(210a-210c) 사이에 연결될 수 있다.
가스 통로(208a-208c)는 상류 측면(204)으로부터 확산 판(200)의 내측으로 보어를 천공하고 하류 측면(206)으로부터 확산 판(200)의 내측으로 다른 보어를 천공함으로써 두 개의 보어가 서로 결합되도록 형성될 수 있다. 두 개의 보어가 만나는 위치에서 확산 판(200)의 쵸오크(212a-212b)를 형성한다.
쵸오크(212a-212c)는 플레넘 내에 분배된 가스가 확산 판(200)의 상류 측면(204) 전체에 걸쳐 균일하게 분포될 수 있게 하는 기능을 하며, 쵸오크의 채널을 좁게 함으로써 확산 판을 통해 가스가 유입될 수 있게 한다. 쵸오크(212a-212c)의 좁아진 채널은 가스를 정체시켜 확산 판(200)의 상류 측면(204)에 걸쳐서 가스를 확산시킴으로써 동일한 양의 가스가 각각의 가스 통로(208a-208c)를 통해 흐를 수 있게 한다. 일 실시예에서, 쵸오크(212a-212c)는 모두 동일한 높이 및/또는 폭을 가질 것이다. 다른 실시예에서, 상기 쵸오크(212a-212c)는 모두 다양한 높이 및/또는 폭을 가질 수 있다. 또한, 쵸오크(212a-212c)는 확산 판(200)의 상류 측면(204)으로부터 동일 또는 상이한 거리 만큼 이격될 수 있다.
가스 통로(208a-208c)는 또한, 중공형 캐소드 공동(214a-214c)을 포함한다. 중공형 캐소드 공동(214a-214c)은 원추형 또는 원통형 또는 이들의 조합 형상일 수 있다. 중공형 캐소드 공동(214a-214c)은 중공형 캐소드 공동(214a-214c) 내에서 플라즈마의 점화를 허용할 수 있는 크기이다. 환언하면, 플라즈마는 처리 공간 내에서 보다는 확산 판(200) 자체 내에서 점화될 수 있다. 플라즈마를 중공형 캐소드 공동(214a-214c) 내에서 점화시킴으로써, 플라즈마의 형상이 제어될 수 있는데, 이는 중공형 캐소드 공동(214a-214c)이 챔버 내의 플라즈마의 형상 및/또는 세기에 영향을 줄 수 있기 때문이다.
각각의 중공형 캐소드 공동(214a-214c)은 상이한 표면적 또는 체적을 가질 수 있다. 또한, 확산 각(α13)은 중공형 캐소드 공동(214a-214c)에 대해 동일 및/또는 상이할 수 있다. 확산 각(α13)은 중공형 캐소드 공동(214a-214c)의 벽이 쵸오크(212a-212c)로부터 연장하는 각도이다. 슬릿 밸브(202)가 플라즈마의 균일도에 영향을 끼침으로써 기판에 대한 증착 균일도에 영향을 준다는 것을 알아낸 것은 놀라운 것이다.
가스 통로(208a-208c)가 확산 판(200) 전체에 걸쳐서 실질적으로 동일한 상황하에서, 기판의 중앙부의 면적은 기판의 나머지 부분에 비해서 더 많은 증착 양을 갖는다는 것도 알아냈다. 또한, 슬릿 밸브에 인접한 기판 상에서 발생되는 증착의 양이 기판의 중앙부에서 발생하는 증착의 양보다 작을 수 있지만, 슬릿 밸브에 인접한 기판의 면적이 기판의 나머지 부분에 비해서 더 많은 양의 증착을 가질 수 있다는 것도 알아냈다.
불균일한 증착을 보상하기 위해, 중공형 캐소드 공동(214a-214c)의 표면적 및/또는 체적이 조절될 수 있다. 환언하면, 중공형 캐소드 공동(214a-214c)의 표면적 및/또는 체적을 상이하게 조절함으로써, 중공형 캐소드 공동 내에 생성되는 플라즈마의 표면적 및/또는 체적도 상이해져[즉, 플라즈마 구배(gradient)가 형성되어] 기판 상의 불균일한 증착이 보상되게 된다. 3 개의 가스 통로(208a-208c)를 갖는 확산 판을 참조하여 설명하지만, 이는 더 많은 가스 통로(208a-208c)가 존재할 수 있다고 이해해야 한다. 기판의 중앙부에 대응하는 가스 통로(208b)는 보다 작은 중공형 캐소드 공동(214b) 표면적 및/또는 체적을 가질 것이다. 증착이 덜 수행될 수 있는 기판의 에지에 대응하는 가스 통로(208c)는 기판의 중앙부에 대응하는 가스 통로(208b)의 중공형 캐소드 공동(214b)에 비해서 보다 큰 표면적 및/또는 체적을 갖는 중공형 캐소드 공동(214c)을 가질 수 있다. 유사하게, 슬릿 밸브(202)에 인접한 가스 통로(208a)는 기판의 중앙부에 대응하는 중공형 캐소드 공동(214b)에 비해 보다 큰 표면적 및/또는 체적을 갖지만, 기판의 에지에 대응하는 중공형 캐소드 공동(214c)에 비해서 보다 작은 표면적 및/또는 체적을 갖는 중공형 캐소드 공동(214a)을 가질 수 있다. 중공형 캐소드 공동(214a-214c)이 상이하지만, 쵸오크(212a-212c)는 실질적으로 동일 또는 상이할 수 있다.
대형 기판의 코너가 플라즈마 처리 중에 슬릿 밸브에 비해서 유사한 효과를 갖는다는 것을 알아낸 것도 놀라운 것이다. 따라서, 실질적으로 동일한 가스 통로를 갖는 확산 판을 사용할 때, 기판의 코너 근처에서 발생하는 증착의 양은 기판의 에지에서 발생하는 양보다 많지만 기판의 중앙부 근처에서 발생하는 증착의 양보다 적을 수 있다. 코너-중앙부-에지의 증착 불균일성을 보상하기 위해, 중공형 캐소드 공동(214a-214c)이 슬릿 밸브 중앙부 에지 증착의 불균일성과 관련하여 전술한 배열과 실질적으로 동일하게 배열될 수 있다. 이는 확산 판이 슬릿 밸브 보상뿐만 아니라 코너 보상 효과도 가질 수 있다고 이해된다. 도 3a 내지 도 3f는 본 발명의 다른 실시예에 따른 중공형 캐소드 공동을 갖는 가스 통로의 개략적인 횡단면도이다.
도 4는 본 발명의 일 실시예에 따른 확산 판(400)의 개략적인 횡단면도이다. 상류 측면(408)과 하류 측면(410) 사이로 연장하는 각각의 가스 통로를 위한 상부 보어(402)와 쵸오크(404)는 실질적으로 동일하다. 그러나, 중공형 캐소드 공동(406)은 확산 판(400) 전체에 걸쳐서 상이할 수 있다. 슬릿 밸브에 가장 가까운 중공형 캐소드 공동(406)은 확산 판의 에지에 대응하는 중공형 캐소드 공동(406)에 비해서 보다 작은 표면적 및/또는 체적을 가질 수 있다. 슬릿 밸브에 대응하는 중공형 캐소드 공동(406)은 확산 판의 중앙부에 대응하는 중공형 캐소드 공동(406)의 표면적 및/또는 체적보다 큰 표면적 및/또는 체적을 가질 수 있다. 중공형 캐소드 공동(406)은 하류면의 톱니형 형상으로 인해 상이할 수 있다. 하류면(410)은 슬릿 밸브 근처의 에지부(416) 및 다른 부분(412)로 완만한 곡선을 갖는 하류면(410)의 편심 오목부(414)를 가질 수 있다. 하류면(410)은 상부 보어(402)와 중공형 캐소드 공동(406)이 확산 판(400)의 내측으로 천공된 후에 확산 판(400)의 하류 측면(410)을 기계 가공해냄으로써 형성될 수 있다.
확산 판의 설계에 있어서 슬릿 밸브를 보상함으로써, 균일한 필름이 기판 상에 증착될 수 있다.
이제까지 본 발명의 실시예들을 중심으로 설명하였지만, 본 발명의 다른 추가의 실시예들이 본 발명의 기본 사상으로부터 이탈함이 없이 창안될 수 있으며, 그러므로 본 발명의 사상은 다음의 특허청구범위에 의해 결정된다.
삭제
도 1은 본 발명의 실시예에 따른 처리 챔버의 개략적인 횡단면도.
도 2a는 본 발명의 실시예에 따른 슬릿 밸브와 연관된 확산 판의 개략적인 평면도.
도 2b는 도 2a의 A-A 선을 따라 취한 개략적인 횡단면도.
도 3a 내지 도 3f는 본 발명의 다른 실시예에 따른 중공형 캐소드 공동을 갖춘 가스 통로의 개략적인 횡단면도.
도 4는 본 발명의 다른 실시예에 따른 확산 판의 개략적인 횡단면도.
삭제
삭제
삭제

Claims (15)

  1. 가스 분배 판 조립체로서,
    상류 측면, 하류 측면, 처리 챔버 내에서 슬릿 밸브에 인접하게 배열되는 제 1 에지, 중앙부, 및 제 2 에지를 갖는 확산 판 소자; 및
    상기 상류 측면과 하류 측면 사이로 통과하는 복수의 가스 통로를 포함하며,
    상기 복수의 가스 통로는,
    상기 확산 판 소자의 제 1 에지에 인접 배열되는 제 1 중공형 캐소드 공동을 갖춘 제 1 가스 통로,
    상기 확산 판 소자의 중앙부에 인접 배열되는 제 2 중공형 캐소드 공동을 갖춘 제 2 가스 통로, 및
    상기 제 2 에지에 인접 배열되는 제 3 중공형 캐소드 공동을 갖춘 제 3 가스 통로를 포함하며, 상기 제 1 중공형 캐소드 공동, 제 2 중공형 캐소드 공동, 및 제 3 중공형 캐소드 공동이 상이한 체적을 가지며,
    상기 제 3 중공형 캐소드 공동의 체적은 상기 제 1 중공형 캐소드 공동의 체적 및 상기 제 2 중공형 캐소드 공동의 체적보다 큰,
    가스 분배 판 조립체.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 각각의 가스 통로는 균일한 배압을 촉진시킬 수 있는 길이와 직경을 가지는 쵸오크를 포함하며, 상기 쵸오크는 중공형 캐소드 공동에 연결되며, 상기 각각의 쵸오크는 동일한 길이와 직경을 포함하는,
    가스 분배 판 조립체.
  4. 제 1 항에 있어서,
    상기 확산 판 소자는 제 4 중공형 캐소드 공동을 갖는 하나 이상의 코너부를 포함하며, 상기 제 4 중공형 캐소드 공동은 제 3 중공형 캐소드 공동의 체적보다 작은,
    가스 분배 판 조립체.
  5. 제 1 항에 있어서,
    상기 하류 측면은 오목한 부분을 포함하는,
    가스 분배 판 조립체.
  6. 플라즈마 처리 챔버로서,
    복수의 벽을 갖춘 챔버 몸체,
    상기 복수의 벽들 중 하나 이상의 벽에 배열되는 하나 또는 그 이상의 슬릿 밸브, 및
    확산 판을 포함하며,
    상기 확산 판은 상류 측면, 하류 측면, 하나 또는 그 이상의 슬릿 밸브 중의 하나의 슬릿 밸브에 인접하게 배열되는 제 1 에지, 중앙부, 및 제 2 에지를 갖는 확산 판 소자, 및
    상기 상류 측면과 하류 측면 사이로 통과하는 복수의 가스 통로를 포함하며,
    상기 복수의 가스 통로는,
    상기 확산 판 소자의 제 1 에지에 인접 배열되는 제 1 중공형 캐소드 공동을 갖춘 제 1 가스 통로,
    상기 확산 판 소자의 중앙부에 인접 배열되는 제 2 중공형 캐소드 공동을 갖춘 제 2 가스 통로, 및
    상기 제 2 에지에 인접 배열되는 제 3 중공형 캐소드 공동을 갖춘 제 3 가스 통로를 포함하며, 상기 제 1 중공형 캐소드 공동, 제 2 중공형 캐소드 공동, 및 제 3 중공형 캐소드 공동은 상이한 체적을 가지며,
    상기 제 3 중공형 캐소드 공동의 체적은 상기 제 1 중공형 캐소드 공동의 체적 및 상기 제 2 중공형 캐소드 공동의 체적보다 큰,
    플라즈마 처리 챔버.
  7. 제 6 항에 있어서,
    상기 각각의 가스 통로는 균일한 배압을 촉진시킬 수 있는 길이와 직경을 가지는 쵸오크를 포함하며, 상기 쵸오크는 중공형 캐소드 공동에 연결되며, 상기 각각의 쵸오크는 동일한 길이와 직경을 포함하는,
    플라즈마 처리 챔버.
  8. 삭제
  9. 제 6 항에 있어서,
    상기 확산 판 소자는 제 4 중공형 캐소드 공동을 갖는 하나 이상의 코너부를 포함하며, 상기 제 4 중공형 캐소드 공동은 제 3 중공형 캐소드 공동의 체적보다 작은,
    플라즈마 처리 챔버.
  10. 제 6 항에 있어서,
    상기 하류 측면은 오목한 부분을 포함하는,
    플라즈마 처리 챔버.
  11. 플라즈마 처리 방법으로서,
    슬릿 밸브에 인접 배열되는 제 1 가스 통로가 확산 판의 중앙부에 인접 배열되는 제 2 가스 통로 및 상기 확산 판의 에지에 인접 배열되는 제 3 가스 통로와 상이하게 배치 및 배열되는 복수의 가스 통로를 갖춘 확산 판을 통해 처리 가스를 유동시키는 단계,
    상기 확산 판을 바이어스시키는 단계, 및
    상기 가스 통로 내의 플라즈마를 점화시키는 단계를 포함하는,
    플라즈마 처리 방법.
  12. 제 11 항에 있어서,
    상기 제 1 가스 통로 내의 플라즈마를 점화시키는 단계,
    상기 제 2 가스 통로 내의 플라즈마를 점화시키는 단계, 및
    상기 제 3 가스 통로 내의 플라즈마를 점화시키는 단계를 포함하는, 상기 확산 판에 대향되게 배열되는 기판을 플라즈마 처리하는 단계를 더 포함하며,
    상기 플라즈마 처리 방법은 플라즈마 강화 화학 기상 증착 방법이며, 상기 플라즈마 처리는 상기 기판 상에 균일한 두께의 층을 증착시키는 단계를 포함하는,
    플라즈마 처리 방법.
  13. 제 11 항에 있어서,
    상기 확산 판 전체에 걸쳐서 중공형 캐소드 공동의 비대칭 플라즈마 구배를 형성하는 단계를 더 포함하는,
    플라즈마 처리 방법.
  14. 제 11 항에 있어서,
    상기 확산 판은 오목한 부분을 포함하는,
    플라즈마 처리 방법.
  15. 제 11 항에 있어서,
    상기 각각의 가스 통로는,
    상류 측면 전반에 걸쳐서 균일한 가스 분포를 촉진시킬 수 있는 길이와 직경을 가지는 쵸오크, 및
    상기 쵸오크 및 하류 측면과 열결되는 중공형 캐소드 공동을 포함하며, 상기 쵸오크는 동일한,
    플라즈마 처리 방법.
KR1020080053726A 2007-07-20 2008-06-09 슬릿 밸브 보상기구를 갖는 확산 판 KR101046471B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/780,478 US8328939B2 (en) 2004-05-12 2007-07-20 Diffuser plate with slit valve compensation
US11/780,478 2007-07-20

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020110032785A Division KR101383856B1 (ko) 2007-07-20 2011-04-08 슬릿 밸브 보상기구를 갖는 확산 판

Publications (2)

Publication Number Publication Date
KR20090009698A KR20090009698A (ko) 2009-01-23
KR101046471B1 true KR101046471B1 (ko) 2011-07-04

Family

ID=40276179

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020080053726A KR101046471B1 (ko) 2007-07-20 2008-06-09 슬릿 밸브 보상기구를 갖는 확산 판
KR1020110032785A KR101383856B1 (ko) 2007-07-20 2011-04-08 슬릿 밸브 보상기구를 갖는 확산 판

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020110032785A KR101383856B1 (ko) 2007-07-20 2011-04-08 슬릿 밸브 보상기구를 갖는 확산 판

Country Status (5)

Country Link
US (1) US8328939B2 (ko)
JP (1) JP5543088B2 (ko)
KR (2) KR101046471B1 (ko)
CN (1) CN101348902B (ko)
TW (1) TWI377995B (ko)

Families Citing this family (510)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US8518284B2 (en) * 2008-05-02 2013-08-27 Tel Solar Ag Plasma treatment apparatus and method for plasma-assisted treatment of substrates
KR20110074854A (ko) * 2008-08-28 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 유량 경사 설계를 갖는 균일한 실리콘 막을 증착하는 방법 및 장치
TWI475708B (zh) * 2008-09-01 2015-03-01 Applied Materials Inc 利用流量梯度設計以沉積均勻矽膜之方法與設備
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
KR200452532Y1 (ko) 2008-11-06 2011-03-07 주식회사 테스 가스 분사 유닛
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101722903B1 (ko) * 2009-08-25 2017-04-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 광전 변환장치의 제조방법
KR20110021654A (ko) 2009-08-25 2011-03-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 미결정 반도체막의 제조방법, 및 반도체장치의 제조방법
US9177761B2 (en) * 2009-08-25 2015-11-03 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus, method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120035559A (ko) 2010-10-06 2012-04-16 주식회사 유진테크 반원 형상의 안테나를 구비하는 기판 처리 장치
US20120135609A1 (en) * 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120258555A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode and Systems Implementing the Same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US9447499B2 (en) * 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI466163B (zh) * 2012-10-30 2014-12-21 Zavtrod 空心陰極放電蝕刻裝置及其方法
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
WO2016204974A1 (en) 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
JP6550962B2 (ja) * 2015-06-24 2019-07-31 株式会社デンソー 炭化珪素半導体のエピタキシャル成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
TWI733712B (zh) * 2015-12-18 2021-07-21 美商應用材料股份有限公司 用於沉積腔室的擴散器及用於沉積腔室的電極
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102589743B1 (ko) * 2016-06-10 2023-10-17 주식회사 뉴파워 프라즈마 균일한 가스 분배를 위한 가스 분배 플레이트를 포함하는 플라즈마 챔버
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US20180090300A1 (en) * 2016-09-27 2018-03-29 Applied Materials, Inc. Diffuser With Corner HCG
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180062101A (ko) * 2016-11-30 2018-06-08 엘지디스플레이 주식회사 샤워헤드 및 이를 포함하는 롤투롤 플라즈마 처리장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102455239B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
KR102595355B1 (ko) 2017-12-28 2023-10-30 삼성디스플레이 주식회사 증착 장치 및 그것을 이용한 증착 방법
KR102534076B1 (ko) 2018-01-04 2023-05-19 삼성디스플레이 주식회사 증착 장치 및 증착 방법
KR102527232B1 (ko) * 2018-01-05 2023-05-02 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019236937A1 (en) * 2018-06-08 2019-12-12 Applied Materials, Inc. Temperature controlled gas diffuser for flat panel process equipment
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
CN109939618A (zh) * 2019-03-26 2019-06-28 沈阳拓荆科技有限公司 喷淋结构及化学源供给系统
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2023507111A (ja) * 2019-12-17 2023-02-21 アプライド マテリアルズ インコーポレイテッド 高密度プラズマ化学気相堆積チャンバ
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210092693A (ko) * 2020-01-15 2021-07-26 에이에스엠 아이피 홀딩 비.브이. 샤워헤드 어셈블리 및 부품
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114624921B (zh) * 2022-03-11 2023-08-01 纳晶科技股份有限公司 光学板及含其的显示装置、量子点光学板的制备方法
JP7417652B2 (ja) 2022-04-08 2024-01-18 株式会社アルバック シャワープレート、プラズマ処理装置
CN115341198B (zh) * 2022-07-05 2023-08-04 湖南红太阳光电科技有限公司 一种平板式pecvd设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070039931A (ko) * 2004-07-12 2007-04-13 어플라이드 머티어리얼스, 인코포레이티드 가스 확산기 곡률에 의한 플라즈마 균일성 제어

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3603284A (en) * 1970-01-02 1971-09-07 Ibm Vapor deposition apparatus
US3830194A (en) * 1972-09-28 1974-08-20 Applied Materials Tech Susceptor support structure and docking assembly
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
AU555553B2 (en) 1981-10-27 1986-10-02 Arthur Malcolm Bennett Valve member
US4522149A (en) * 1983-11-21 1985-06-11 General Instrument Corp. Reactor and susceptor for chemical vapor deposition process
US4809421A (en) * 1984-01-16 1989-03-07 Precision Brand Products, Inc. Slotted shim
US4491520A (en) * 1984-02-22 1985-01-01 Jaye Richard C Filter for water jugs
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US4726924A (en) * 1984-06-28 1988-02-23 The Boeing Company Method of planar forming of zero degree composite tape
US4763690A (en) * 1986-07-29 1988-08-16 Harsco Corporation Leak-proof valve for gas cylinders
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4799418A (en) * 1987-08-21 1989-01-24 Mitsuba Electric Mfg. Co., Ltd. Vacuum actuator for vehicle speed control
US4927991A (en) * 1987-11-10 1990-05-22 The Pillsbury Company Susceptor in combination with grid for microwave oven package
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4999358A (en) * 1989-06-26 1991-03-12 Hoechst-Roussel Pharmaceuticals Inc. (1,2,3,4-tetrahydro-9-acridinimino)cyclohexane carboxylic acid and related compounds
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5124635A (en) * 1990-02-15 1992-06-23 Photon Dynamics, Inc. Voltage imaging system using electro-optics
US5044943A (en) 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
US5173580A (en) 1990-11-15 1992-12-22 The Pillsbury Company Susceptor with conductive border for heating foods in a microwave oven
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US6289322B1 (en) * 1998-03-03 2001-09-11 Checkfree Corporation Electronic bill processing
US5152504A (en) 1991-09-11 1992-10-06 Janis Research Company, Inc. Vacuum valve
US5339387A (en) * 1991-10-24 1994-08-16 Abekas Video Systems, Inc. Planar color gradients constructed as an arbitrary function of a distance function from an arbitrary 2-D curvilinear function
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
KR960000190B1 (ko) 1992-11-09 1996-01-03 엘지전자주식회사 반도체 제조방법 및 그 장치
US5820686A (en) 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
JP2662365B2 (ja) 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
DE69323716T2 (de) * 1993-01-28 1999-08-19 Applied Materials Inc Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
US5352294A (en) * 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5503809A (en) * 1993-04-19 1996-04-02 John T. Towles Compact ozone generator
US5332443A (en) * 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5464480A (en) 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
DE4326308C1 (de) 1993-08-05 1994-10-20 Jenoptik Jena Gmbh Transportvorrichtung für Magazine zur Aufnahme scheibenförmiger Objekte
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
DE69433836D1 (de) * 1993-12-28 2004-07-15 Applied Materials Inc Verfahren zur plasma-unterstützten chemischen Dampfabscheidung von Silizium-Oxynitridschichten
DE4413077C2 (de) 1994-04-15 1997-02-06 Steag Micro Tech Gmbh Verfahren und Vorrichtung zur chemischen Behandlung von Substraten
US5628869A (en) * 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
EP0786819B1 (en) 1995-08-04 2003-05-07 Seiko Epson Corporation Process for preparing thin-film transistor, process for preparing active matrix substrate, and liquid crystal display
WO1997009737A1 (en) * 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US6342135B1 (en) * 1995-11-02 2002-01-29 Taiwan Semiconductor Manufacturing Company Sputter etching chamber with improved uniformity
US6004875A (en) * 1995-11-15 1999-12-21 Micron Technology, Inc. Etch stop for use in etching of silicon oxide
KR100271222B1 (ko) * 1995-12-14 2000-12-01 오카베 히로무 반도체 소자 및 그 제조 방법
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5844205A (en) 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5819434A (en) 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
JPH10134997A (ja) * 1996-10-24 1998-05-22 Samsung Electron Co Ltd 2次電位による放電を除去したプラズマ処理装置
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
KR19980032712U (ko) 1996-12-04 1998-09-05 오상수 에어백가스배출장치
KR100252210B1 (ko) 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US5981899A (en) * 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US5994678A (en) 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US20030143410A1 (en) * 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
US6756324B1 (en) * 1997-03-25 2004-06-29 International Business Machines Corporation Low temperature processes for making electronic device structures
DE59811474D1 (de) 1997-06-13 2004-07-01 Unaxis Trading Ag Truebbach Verfahren zur herstellung von werkstücken, die mit einer epitaktischen schicht beschichtet sind
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5968276A (en) 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6548122B1 (en) * 1997-09-16 2003-04-15 Sri International Method of producing and depositing a metal film
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
KR100279963B1 (ko) 1997-12-30 2001-04-02 윤종용 반도체소자제조용가스디퓨져및이를설치한반응로
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
JP4147608B2 (ja) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
JP2001102309A (ja) 1998-04-09 2001-04-13 Tokyo Electron Ltd ガス処理装置
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
GB9808825D0 (en) * 1998-04-24 1998-06-24 Nimbus Communications Int Ltd A disk recording system and a method of controlling the rotation of a turntable in such a disk recording system
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6176668B1 (en) * 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6619131B2 (en) 1998-07-16 2003-09-16 Unaxis Balzers Ag Combination pressure sensor with capacitive and thermal elements
TW384502B (en) 1998-08-27 2000-03-11 Winbond Electronics Corp Gas dispensing apparatus
CA2277394C (en) 1998-09-09 2003-10-21 Saint-Gobain Industrial Ceramics, Inc. Plasma jet chemical vapor deposition system having a plurality of distribution heads
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
WO2000019483A1 (de) 1998-09-30 2000-04-06 Unaxis Balzers Aktiengesellschaft Vakuumbehandlungskammer und verfahren zur oberflächenbehandlung
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6140255A (en) 1998-12-15 2000-10-31 Advanced Micro Devices, Inc. Method for depositing silicon nitride using low temperatures
JP2000235954A (ja) 1999-02-15 2000-08-29 Hiroshima Nippon Denki Kk ガス吹き出し部材
US6344420B1 (en) * 1999-03-15 2002-02-05 Kabushiki Kaisha Toshiba Plasma processing method and plasma processing apparatus
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP4547125B2 (ja) 1999-05-13 2010-09-22 東京エレクトロン株式会社 誘導結合プラズマ処理装置
DE19923300A1 (de) 1999-05-21 2000-11-23 Bayer Ag Phosphatstabilisierte, kondensationsvernetzende Polyurethanmassen, ein Verfahren zu ihrer Herstellung sowie ihre Verwendung
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6254742B1 (en) * 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6149365A (en) * 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
ES2320501T3 (es) * 1999-09-29 2009-05-22 European Community Distribucion uniforme de gas en un dispositivo de tratamiento con plasma de zona grande.
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6548112B1 (en) * 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
JP2001164371A (ja) 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6510263B1 (en) * 2000-01-27 2003-01-21 Unaxis Balzers Aktiengesellschaft Waveguide plate and process for its production and microtitre plate
JP2001210603A (ja) 2000-01-27 2001-08-03 Semiconductor Leading Edge Technologies Inc 縦型熱処理装置用の反応管および該反応管を用いた縦型熱処理装置
US6961490B2 (en) 2000-01-27 2005-11-01 Unaxis-Balzers Aktiengesellschaft Waveguide plate and process for its production and microtitre plate
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP3501715B2 (ja) * 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6444040B1 (en) 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6566186B1 (en) * 2000-05-17 2003-05-20 Lsi Logic Corporation Capacitor with stoichiometrically adjusted dielectric and method of fabricating same
US6383573B1 (en) * 2000-05-17 2002-05-07 Unaxis Balzers Aktiengesellschaft Process for manufacturing coated plastic body
TW584902B (en) 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
JP4382265B2 (ja) * 2000-07-12 2009-12-09 日本電気株式会社 酸化シリコン膜の形成方法及びその形成装置
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
JP2002064084A (ja) 2000-08-17 2002-02-28 Sumitomo Metal Ind Ltd プラズマ処理用ガス導入装置およびプラズマ処理方法
WO2002025712A1 (fr) * 2000-09-14 2002-03-28 Japan As Represented By President Of Japan Advanced Institute Of Science And Technology Dispositif de depot chimique en phase vapeur (cvd) a element chauffant
US6916407B2 (en) * 2000-11-27 2005-07-12 Unaxis Trading Ag Target comprising thickness profiling for an RF magnetron
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP2002280377A (ja) 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc 基板処理装置
JP2002299240A (ja) 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
US6663025B1 (en) 2001-03-29 2003-12-16 Lam Research Corporation Diffuser and rapid cycle chamber
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
KR100422199B1 (ko) 2001-05-04 2004-03-12 주성엔지니어링(주) 반도체 소자 제조장치
US6986814B2 (en) 2001-12-20 2006-01-17 General Electric Company Gas distributor for vapor coating method and container
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6664202B2 (en) 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
JP2003324072A (ja) * 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
JP4338355B2 (ja) 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
EP1523761A1 (en) * 2002-06-21 2005-04-20 Applied Materials, Inc. Transfer chamber for vacuum processing system
JP2004035971A (ja) 2002-07-05 2004-02-05 Ulvac Japan Ltd 薄膜製造装置
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
JP3935401B2 (ja) 2002-07-22 2007-06-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
JP2004095953A (ja) * 2002-09-02 2004-03-25 Canon Inc 窒化シリコンの堆積膜形成方法
US6683216B1 (en) * 2002-11-06 2004-01-27 Eastman Chemical Company Continuous process for the preparation of amines
CN1230044C (zh) 2002-11-14 2005-11-30 友达光电股份有限公司 等离子体处理装置
DE10253717B4 (de) * 2002-11-18 2011-05-19 Applied Materials Gmbh Vorrichtung zum Kontaktieren für den Test mindestens eines Testobjekts, Testsystem und Verfahren zum Testen von Testobjekten
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6924241B2 (en) 2003-02-24 2005-08-02 Promos Technologies, Inc. Method of making a silicon nitride film that is transmissive to ultraviolet light
US7314652B2 (en) 2003-02-28 2008-01-01 General Electric Company Diffuser for flat panel display
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
KR20050024949A (ko) 2003-09-05 2005-03-11 삼성전자주식회사 플라즈마 식각 장치
US7487740B2 (en) * 2003-09-10 2009-02-10 Oerlikon Trading Ag, Truebbach Voltage non-uniformity compensation method for high frequency plasma reactor for the treatment of rectangular large area substrates
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7695231B2 (en) 2004-03-08 2010-04-13 Jusung Engineering Co., Ltd. Vacuum pumping system, driving method thereof, apparatus having the same, and method of transferring substrate using the same
US20050223986A1 (en) 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
CH706979B1 (en) 2004-04-30 2014-03-31 Tel Solar Ag Method for producing a disc-shaped workpiece based on a dielectric substrate and vacuum treatment plant therefor.
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
CN101144154B (zh) 2004-05-12 2012-11-14 应用材料公司 采用气体扩散板通道设计的等离子体均匀度控制
JP4451221B2 (ja) * 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
KR101063737B1 (ko) * 2004-07-09 2011-09-08 주성엔지니어링(주) 기판 제조장비의 샤워헤드
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI287279B (en) 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
JP3913244B2 (ja) * 2004-10-21 2007-05-09 松下電器産業株式会社 基板処理方法
JP2006120872A (ja) * 2004-10-21 2006-05-11 Matsushita Electric Ind Co Ltd ガス拡散プレート
US20060130764A1 (en) * 2004-12-16 2006-06-22 Jusung Engineering Co., Ltd. Susceptor for apparatus fabricating thin film
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070039931A (ko) * 2004-07-12 2007-04-13 어플라이드 머티어리얼스, 인코포레이티드 가스 확산기 곡률에 의한 플라즈마 균일성 제어

Also Published As

Publication number Publication date
US8328939B2 (en) 2012-12-11
TW200914148A (en) 2009-04-01
KR20090009698A (ko) 2009-01-23
CN101348902B (zh) 2012-05-09
KR20110046423A (ko) 2011-05-04
US20080020146A1 (en) 2008-01-24
JP5543088B2 (ja) 2014-07-09
CN101348902A (zh) 2009-01-21
JP2009035821A (ja) 2009-02-19
TWI377995B (en) 2012-12-01
KR101383856B1 (ko) 2014-04-10

Similar Documents

Publication Publication Date Title
KR101046471B1 (ko) 슬릿 밸브 보상기구를 갖는 확산 판
JP2009035821A5 (ko)
KR102214350B1 (ko) 반도체 처리를 위한 가스 분배 샤워헤드
TWI693100B (zh) 噴頭組件及處理腔室
KR101047249B1 (ko) 대면적 기판들의 균일성 강화를 위한 방법 및 장치
CN100575547C (zh) 通过气体分散器的等离子体均匀度控制
US7104476B2 (en) Multi-sectored flat board type showerhead used in CVD apparatus
US8074599B2 (en) Plasma uniformity control by gas diffuser curvature
US7018940B2 (en) Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
EP1595974A2 (en) Plasma uniformity control by gas diffuser hole design
KR20060134946A (ko) 가스 처리 장치 및 성막 장치
KR20100006115A (ko) 가스분배판 및 이를 포함하는 기판처리장치
WO2015016980A1 (en) Gas diffuser hole design for improving edge uniformity
JP2023507111A (ja) 高密度プラズマ化学気相堆積チャンバ
KR20120082369A (ko) 기판 처리 장치
KR102479923B1 (ko) 고밀도 플라즈마 강화 화학 기상 증착 챔버
KR101243782B1 (ko) 박막 증착 장치
KR102248657B1 (ko) 기판처리장치
KR102248048B1 (ko) 가스 분배장치
US20200058497A1 (en) Silicon nitride forming precursor control
CN111321391A (zh) 用于半导体制造的喷头
KR20060100961A (ko) 샤워헤드 및 이를 구비한 원자층 증착설비
KR101157395B1 (ko) 가스유압조절이 용이한 기판처리장치
WO2023177950A1 (en) Dual plenum showerhead with center to edge tunability
TW202108811A (zh) 溫度調節裝置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 7