CN102077338A - 用于低温pecvd应用的基座加热器 - Google Patents

用于低温pecvd应用的基座加热器 Download PDF

Info

Publication number
CN102077338A
CN102077338A CN2009801242236A CN200980124223A CN102077338A CN 102077338 A CN102077338 A CN 102077338A CN 2009801242236 A CN2009801242236 A CN 2009801242236A CN 200980124223 A CN200980124223 A CN 200980124223A CN 102077338 A CN102077338 A CN 102077338A
Authority
CN
China
Prior art keywords
pedestal
substrate support
dielectric plug
coupled
base assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801242236A
Other languages
English (en)
Inventor
周健华
叶立悠
迪米特里·斯科莱尔
穆罕默德·阿尤布
卡希克·贾纳基拉曼
胡安·卡洛斯·罗奇-阿尔维斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102077338A publication Critical patent/CN102077338A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一种用于提供功率给加热支撑基座的方法和设备。在一实施例中,叙述一种处理套组。该处理套组包含:空心轴,其由导电材料制成,并在一端耦合至基板支撑件,而在相对端耦合至基底组件,该基底组件适于耦合至配置在半导体处理工具上的功率箱。在一实施例中,该基底组件包含至少一暴露的电连接器,其配置在由介电材料,例如塑料树脂,制成的嵌件中。

Description

用于低温PECVD应用的基座加热器
技术领域
本发明的实施例大体上是关于半导体处理腔室,更具体地,是关于用于半导体处理腔室的加热支撑基座。
背景技术
半导体处理牵涉到一些不同的化学和物理工艺,藉此在基板上产生微小的集成电路。构成集成电路的材料层藉由化学气相沉积、物理气相沉积、外延生长等而产生。某些材料层使用光刻胶屏蔽以及湿或干蚀刻技术来图案化。用于形成集成电路的基板可以是硅、砷化镓、磷化铟、玻璃或其它适当的材料。
在集成电路的制造中,等离子体处理常用于不同材料层的沉积或蚀刻。等离子体处理提供许多优于热处理的优点。举例来说,等离子体增强化学气相沉积(PECVD)允许沉积处理在比类似热处理中可达到的更低温度以及更高沉积率下执行。因此,PECVD对具有严格热预算的集成电路制造来说是有利的,例如,非常大型或超大规模集成电路(VLSI或ULSI)装置的制造。
用在这些处理中的处理腔室典型包含配置在其中的基板支撑件或基座,以于处理期间支撑基板。在某些工艺中,基座可包含嵌入式加热器,其适于控制基板温度及/或提供可用在处理中的高温。通常,基座可由陶瓷材料制成,其通常提供所需的装置制造结果。
不过,陶瓷基座产生许多挑战。这些挑战之一在于由于基座制造成本占工具成本相当大的一部分,而使经营成本升高。此外,使用陶瓷封装加热器无法屏蔽加热器免于可用在装置制造过程中的射频(RF)功率。因此,如果在装置制造过程中使用射频功率,则必须设置射频滤波器以屏蔽加热器,其也增加工具成本。
因此,需要用成本较低且制造花费较低、同时提供嵌入式加热器的射频屏蔽的材料所制成的基座。
发明内容
提供用于提供功率给加热支撑基座的方法和设备。在一实施例中,叙述一种处理套组。该处理套组包含:空心轴,其由导电材料制成,并在一端耦合至基板支撑件,而在相对端耦合至基底组件,该基底组件适于耦合至配置在半导体处理工具上的功率箱。在一实施例中,该基底组件包含至少一个暴露的电连接器,其配置在由介电材料(例如,塑料树脂)制成的嵌件中。
在一实施例中,叙述一种用于半导体处理腔室的基座。该基座包含:基板支撑件,其包含导电材料;加热组件,其封装在该基板支撑件内;及空心轴,其包含导电材料,并在第一端耦合至该基板支撑件,且在相对端耦合至配合接口,该配合接口包含介电插头,介电插头包含至少一个暴露的电连接器,该电连接器适于耦合至功率出口,该功率出口配置在该处理腔室上并与该空心轴电绝缘。
在另一实施例中,叙述一种用于半导体处理腔室的基座。该基座包含:基板支撑件,其包含导电材料;加热组件,其封装在该基板支撑件内;空心轴,其包含导电材料,并在第一端耦合至该基板支撑件,且在相对端耦合至基底组件。该基底组件包含:开槽导电部分,其具有内部容积;及介电插头,其配置在该内部容积中,该介电插头包含一或多个导电构件纵向延伸穿过其中,该一或多个导电构件的每一个与该开槽导电部分电绝缘。
附图说明
参照一些示出在附图中的实施例来提供在上文扼要总结的本发明的更具体叙述,以详细了解本发明的上述的特征结构。不过,须注意附图仅示出此发明的典型实施例,且因此不应视为对本发明范围的限制,因为本发明可容许其它等效实施例。
图1为等离子体系统的一实施例的部分横剖面图。
图2A为图1所示的基座的一实施例的等角顶视图。
图2B为图2A所示的基座的一实施例的等角底视图。
图3A为基座的另一实施例的一部分的横剖面图。
图3B为基座的另一实施例的等角分解图。
图3C为基底组件的一实施例的底部等角视图。
图4为基底组件的另一实施例的横剖面图。
图5为此处所述的基座的基板支撑件表面的示意顶视图。
图6A至6C为从此处所述的基座的三个各自的加热轮廓所取得的数据的图表。
为了帮助了解,已尽可能地使用相同组件符号来标明各图中共享的相同组件。在无需具体详述的情况下,可预期一实施例中所揭示的组件能有利地用在其它实施例上。
具体实施方式
本发明的实施例在下文参照等离子体腔室进行说明叙述。在一实施例中,等离子体腔室是用在等离子体增强化学气相沉积(PECVD)系统中。可适于从本发明得利的PECVD系统的范例包含
Figure BPA00001280192800031
SE化学气相沉积系统、
Figure BPA00001280192800032
GTTM化学气相沉积系统或
Figure BPA00001280192800033
化学气相沉积系统,所有系统商业上都可购自加州圣克拉拉的应用材料公司。
Figure BPA00001280192800034
SE化学气相沉积系统(例如,200mm或300mm)具有两个隔离的处理区域,其可用于在基板上沉积薄膜,例如,导电膜、硅烷、碳掺杂氧化硅和其它材料,并在美国专利第5,855,681和6,495,233号中叙述,该两专利合并在此以供参照。
Figure BPA00001280192800035
化学气相沉积腔室在美国专利第6,364,954号中揭示,其也并入在此以供参照。虽然示范实施例包含两个处理区域,可预期本发明利于用在具有单一处理区域或多于两个处理区域的系统中。也可预期本发明能够利于用在其它等离子体腔室中,包含蚀刻腔室、离子注入腔室、等离子体处理腔室和剥离腔室等等。进一步可预期本发明能够利于用在购自其它制造商的等离子体处理腔室中。
图1为等离子体系统100的部分横剖面图。等离子体系统100通常包含处理腔室主体102,其具有侧壁112、底壁116和内侧壁101以界定一对处理区域120A和120B。处理区域120A-B各自为类似的配置,为了简洁起见,将仅叙述处理区域120B中的部件。
基座128在处理区域120B中配置通过形成在系统100的底壁116中的通道122。基座128适于在其上表面支撑基板(未显示)。基座128可包含加热组件,举例来说,电阻性组件,以加热并控制基板温度为所需的处理温度。或者,基座128可通过远程加热组件(例如,灯组件)来加热。
基座128藉由杆126耦合至功率出口或功率箱103,其可包含驱动系统,该驱动系统控制基座128在处理区域120B内部的高度和移动。杆126也包含电功率接口,以提供电功率给基座128。功率箱103也包含电功率接口和温度指示器,例如,热电偶接口。杆126也包含基底组件129,其适于可拆卸地耦合至功率箱103。圆周环135示出为位于功率箱130上方。在一实施例中,圆周环135为肩部,其用作机械性止动或阀面,并配置为在基底组件129和功率箱130的上表面之间提供机械接口。
棒130配置通过形成在底壁116中的通道124,并用于启动配置通过基座128的基板举升销161。基板举升销161选择性地隔开基板和基座,以帮助与用来通过基板移送端口160移送基板进出处理区域120B的机器人(未显示)交换基板。
腔室盖104耦合至腔室主体102的顶部。盖104容纳耦合至其上的一或多个气体分配系统108。气体分配组件108包含气体入口通道140,其通过喷淋头组件142传送反应物和清洁气体进入处理区域120B。喷淋头组件142包含环形底板148,其具有阻隔板144配置在其和面板146中间。射频(RF)源165耦合至喷淋头组件142。射频源165提供功率给喷淋头组件142,以帮助在喷淋头组件142的面板146和加热过的基座128之间产生等离子体。在一实施例中,射频源165可为高频射频(HFRF)功率源,例如,13.56MHz射频产生器。在另一实施例中,射频源165可包含高频射频功率源和低频射频(LFRF)功率源,例如300kHz射频产生器。或者,射频源可耦合至处理腔室主体102的其它部分(例如,基座128),以帮助等离子体产生。电介质绝缘体158配置在盖104和喷淋头组件142之间,以防止射频功率导向盖104。阴影环106可配置在基座128的周边上,其在基座128的所需高度上接合基板。
可选择地,冷却槽道147形成在气体分配系统108的环形底板148中,以在操作期间冷却环形底板148。传热流体(例如,水、乙二醇、气体等)可循环通过冷却槽道147,以致底板148维持预定的温度。
腔室衬垫组件127以非常紧密相邻腔室主体102的侧壁101、112的方式配置在处理区域120B内部,以防止侧壁101、112暴露至处理区域120B内部的处理环境。衬垫组件127包含圆周泵空腔125,其耦合至泵系统164,泵系统164配置为从处理区域120B排出气体和副产物,以及控制处理区域120B内部的压力。多个排气埠131可形成在腔室衬垫组件127上。排气端口131配置为允许气流以促进系统100内部处理的方式从处理区域120B到圆周泵空腔125。
图2A为用在等离子体系统100中的基座128的一实施例的等角顶视图。基座128包含杆126和基底组件129,其相对圆形基板支撑件205。在一实施例中,杆126配置为管状构件或空心轴。在一实施例中,基底组件129是用作可拆卸的配合接口,其具有配置在功率出口或功率箱103之中或之上的电连接。基板支撑件205包含本质上为平面的基板接收表面或支撑表面210。支撑表面210可适于支撑200mm的基板、300mm的基板或450mm的基板。在一实施例中,支撑表面210包含多个结构215,其可为在支撑表面210的平面上方延伸的凸块或突出部。多个结构215的每一个的高度本质上相等,以提供本质上平面的基板接收平面或稍微上升(或从支撑表面210隔开)的表面。在一实施例中,每一个结构215是由不同于支撑表面210的材料的材料形成或以其涂布。基板支撑件205也包含通过其中形成的多个开口220,该多个开口220适于容纳举升销161(图1)。
在一实施例中,基板支撑件205的主体和杆126是由导电金属材料制成,而基底组件129是由导电金属材料和绝缘材料的组合制成。和陶瓷制成的基板支撑件相比,以导电金属材料制造基板支撑件205降低经营成本。此外,导电金属材料足以屏蔽嵌入式加热器(在此图中未显示)使其免于射频功率。此增加基板支撑件205的效率和寿命,并因而减少经营成本。
在一实施例中,基板支撑件205的主体和杆126完全以铝材料(例如,铝合金)制成。在一特定实施例中,基板支撑件205和杆两者用6061铝制成。在一实施例中,基底组件129包含铝部分和配置在其中的绝缘部分(例如,聚醚醚酮(PEEK)树脂),以使部分的基底组件129和基板支撑件205以及杆126的导电部分电绝缘。在一实施例中,基板支撑件205的主体以铝材料制成,而配置在支撑表面210上的每一个结构215是以陶瓷材料(例如,氧化铝)制成或涂布。
图2B为基座128的一实施例的等角底视图。杆126包含第一端,其耦合至基板支撑件205,且基底组件129位于相对基板支撑件205的第二端。在此实施例中,基底组件129包含开槽导电部分225,其耦合至介电插头230及/或包含介电插头230。在一实施例中,开槽导电部分225可配置为插头或公接口,其适于配合功率箱103(图1)。在此实施例中,导电部分225可为圆形横剖面,其具有至少部分形成穿过外部表面或壁的狭槽。介电插头230可配置如插口或母接口,或者,包含配置为适于容纳或配合与功率箱103内电连接的插口或母接口的一部分或多个部分。在此实施例中,开槽导电部分225可为杆126的整合延伸部分并以铝材料制成,而介电插头230则以PEEK树脂制成。
基底组件129也包含圆周环135,其适于容纳接合与图1的功率箱103接合的O形环240。在此实施例中,开槽导电部分225包含开口,其适于容纳介电插头230,且介电插头230紧固至开槽导电部分225。介电插头230也包含形成在其中的开口或插口,以容纳来自功率箱103的电引线。
图3A为基座128的一实施例的一部分的横剖面图,其具有耦合至如图1所示的功率出口或功率箱103的杆126。基板支撑件205包含嵌入式加热组件(例如,电阻性加热器305),其配置或封装在导电主体300中。在一实施例中,主体300是以由导电金属(例如,铝)构成的材料制成。电阻性加热器305耦合至功率源310,功率源310藉由配置在杆126中的导电引线315而配置在功率箱103中。杆126也包含纵向槽道或孔350,其适于容纳热电偶(未显示)。在此实施例中,介电插头230包含一或多个配置在其中的导电插头320,以耦合导电引线315和配置在功率箱103中的各插口326。在一实施例中,导电插头320为多接点插头。导电引线315和导电插头320可在操作期间电偏压,但藉由介电插头230的周边壁325与开槽导电部分225、杆126和基板支撑件205电绝缘。
在一实施例中,杆126和基板支撑件205是以铝制成且电接地。铝材料封装加热组件,并作用为电阻性加热器305的有效射频屏蔽。藉由铝材料屏蔽射频免除以带通滤波器滤除耦合至电阻性加热器305的射频的需求,而这在以不同材料(例如,陶瓷)制成的加热基座中是必须的。使用导电插头320作为电阻性加热器305的功率端子的电接口设计能够使用来自功率箱103的标准线规线和连接器,而不需使用定制设计的电连接器。导电插头320是装配在包含PEEK树脂的独特基底设计上。导电插头320包含功率端子组件,其由介电插头230机械地支撑,介电插头230插头紧固至基底组件129的导电部分225上。PEEK树脂将通电的功率端子(导电插头320)和接地的加热器主体(基板支撑件205和杆126)电绝缘。因此,基座128藉由免除带通滤波器和利用较便宜的铝材料来最小化成本,其显著降低经营成本。进一步地,在不需要大规模重新设计及/或停机时间的情况下,如此处所述的基座128可翻新改进以取代现存腔室中的原始基座。
图3B为基座128的另一实施例的等角分解图。如所示,多个可以陶瓷材料制成的套筒或嵌件360可容纳于配置在基板支撑件205中的开口220(图2A和2B)。嵌件360适于容纳举升销161(图1)。基底组件129包含开槽导电部分225和介电插头230。开槽导电部分225包含径向狭槽,其适于容纳配置在介电插头230的下部之上的延伸构件或耳部362。开槽导电部分225和介电插头230彼此通过紧固件365(例如,螺钉或螺栓)耦合。在一实施例中,紧固件365和各螺纹嵌件370耦合,螺纹嵌件370耦合至或配置在导电部分225中。在一实施例中,螺纹嵌件370包含
Figure BPA00001280192800071
嵌件。
导电插头320(仅显示一个)包含轴,其具有肩部段363,其用作止动或耦合段,并适于将导电插头320羁留在介电插头230的帽盖段中。导电插头320也可包含螺纹末端364,其适于转进具有母螺纹的导电嵌件375中。在一实施例中,导电插头320是以黄铜材料制成并镀银(Ag),且导电嵌件375是以黄铜材料制成。导电嵌件375可插入绝缘套380中,绝缘套380可以介电材料(例如,PEEK树脂)制成。用于热电偶(未显示)的导引和装配的导引构件385可耦合至或邻接套380配置以从此处开始延伸。导引构件385可以铝材料制成。
图3C为基底组件129的底部等角视图。介电插头230包含本质上圆形的主体,其适于紧密安装在开槽导电部分225之中。在一实施例中,每一个耳部362从主体朝外径向延伸,且本质上为均等间隔。在一实施例中,每一个耳部362是以相等的角增量(例如,120度间隔)放置。介电插头230的主体也包含多个凹部或开口,例如,开口390和开口392。在一实施例中,开口390为母接口,其具有梯形形状,并用于容纳配置在功率箱103上的公插头(未显示)。一或多个导电插头320是容纳在开口390内部。开口392可用作母接口,以容纳一部分的热电偶(未显示)及/或和热电偶耦合的信号线。导电部分的底表面也包含一或多个凹部或开口394,其可适于引导销或装配接口。在一实施例中,至少一个开口394适于容纳接地装置,例如,以导电材料制成的销。
图4为基底组件129的一实施例的横剖面图。圆周环135包含形成在其中的沟槽,以容纳密封件410,例如,O形环。密封件410可以绝缘材料或导电材料制成,以帮助开槽导电部分225接地。在此实施例中,导电插头320显示为耦合至各导电嵌件375。在一实施例中,每一个导电嵌件375是藉由绝缘套380而与基底组件129的其它导电部分以及彼此电绝缘。每一个绝缘套380可以绝缘材料制成,例如,PEEK树脂。在一实施例中,至少一部分的导电引线315至少部分延伸进入绝缘套380和导电嵌件375两者,以使导电引线315和导电插头320电连通。在一实施方式中,导电插头320并未接触导电引线315。
图5为此处所述的基座128的基板支撑件205的示意顶视图。基板支撑件205示范性地将尺寸定在用于300mm的基板应用中。为了帮助解释本发明和范例,基板支撑件205的支撑表面210图形化地划分为七个分开的同心圆。各同心圆的内部半径称为方位角。方位角位于半径23mm、46mm、69mm、92mm、115mm和137mm。图5进一步图形化地划分为多个辐。辐从圆中心向外辐射。辐每30度出现一次,总共产生12个。包含中心点,在支撑表面210上存在有73个交点(12个辐与6个方位角相交,包含中心半径)。
图6A为环绕各方位角的平均温度轮廓的图表(R0=支撑表面210的中心、R6=最外部的方位角)。环绕方位角的温度量测是在辐的交点处取得。在此范例中,基座128是用来支撑具有7mm厚度的300mm的碳化硅晶圆。加热器温度设定在400℃,且压力设定在4Torr。氩以每分钟2标准公升(2SLM)的速度流过腔室。标准基底温度维持在75±1℃。在每一方位角的基座平均温度是介于389℃和392℃之间。
图6B是环绕6个方位角的每一个的温度范围的图表。图6B中的数据是在三个个别操作(操作A、B和C)期间在和上述范例相同的处理参数下所收集而得的。范围由环绕各方位角的12个点(30°、60°、90°、…、330°)构成,其中方位角和辐相交。方位角R1至R6的个别温度范围典型小于7℃。举例来说,在一范例中,第二方位角上的温度范围约为5℃。为了范例目的,温度范围是定义为任何数据组的最大值和最小值之间的差。
图6C是沿着12个辐的每一个的温度范围的图表。图6C的资料是在和上述范例相同的处理参数下所收集而得的。针对三个个别操作(操作A、B和C),计算在方位角交点的沿着每一辐的长度的温度范围。三个操作的沿着每一辐的温度范围介于约3℃和约8℃之间。举例来说,在一操作中,60°辐上的温度范围约为5℃。
在一实施例中,使用双重处理区域120A、120B叙述在基板上沉积薄膜的方法。该方法包含在处理腔室的每一处理区域中在配置在其中的各基座128上提供至少一个基板。基座128包含:基板支撑件205,其包含导电材料;电阻性加热器305,其封装在该基板支撑件内部;及杆126,其包含导电材料,并在第一端耦合至该基板支撑件。该基板支撑件在相对端也包含作为配合接口的基底组件129。该配合接口包含介电插头230,其包含至少一个暴露的电连接器,该电连接器适于耦合至功率出口,该功率出口配置在该处理腔室上,并和该空心轴电绝缘。该方法也包含使一或多个反应气体流到处理区域120A、120B的至少一个,以及在喷淋头组件142和基板支撑件205之间使用射频能量产生等离子体。在一实施例中,该反应器体可在载气(例如氢)中流动。
虽然以上内容已揭示本发明的多个实施例,但可在不偏离本发明基本范围的情况下做出本发明的其它及进一步实施例,且本发明范围当由后附权利要求书决定。

Claims (15)

1.一种用于半导体处理腔室的基座,其包含:
基板支撑件,其包含导电材料;
加热组件,其封装在所述基板支撑件内部;及
空心轴,其包含导电材料,并在第一端耦合至所述基板支撑件,以及在相对端耦合至配合接口,所述配合接口包含介电插头,所述介电插头包含至少一个暴露的电连接器,所述电连接器适于耦合至功率出口,所述功率出口配置在所述处理腔室上并和所述空心轴电绝缘。
2.如权利要求1所述的基座,其中所述配合接口进一步包含:
至少部分地穿过其外部表面形成的多个狭槽。
3.如权利要求2所述的基座,其中所述介电插头包含多个延伸构件,所述多个延伸构件和各自狭槽配合。
4.如权利要求3所述的基座,其中所述介电插头包含圆形横剖面,且所述多个延伸构件的每一个由此径向延伸。
5.如权利要求4所述的基座,其中所述多个延伸构件为均等间隔。
6.如权利要求1所述的基座,其中所述配合接口进一步包含:
配置在其外部表面上的圆周环。
7.如权利要求6所述的基座,其中所述圆周环包含O形环,其适于帮助密封所述处理腔室。
8.如权利要求1所述的基座,其中所述基板支撑件包含基板接收表面,所述基板接收表面包含多个配置在支撑表面上的突出部,其中所述多个突出部中的每一个是以陶瓷材料制成或以陶瓷材料涂布。
9.如权利要求1所述的基座,其中所述至少一个暴露的电连接器是和配置在所述空心轴中的导电引线电连通。
10.一种用于半导体处理腔室的基座,其包含:
基板支撑件,其包含导电材料;
加热组件,其封装在所述基板支撑件内;
空心轴,其包含导电材料,并在第一端耦合至所述基板支撑件,且在相对端耦合至基底组件,所述基底组件包含:
开槽导电部分,其具有内部容积;及
介电插头,其配置在所述内部容积中,所述介电插头包含一或多个导电构件,所述一或多个导电构件纵向延伸穿过其中,所述一或多个导电构件的每一个与所述开槽导电部分电绝缘。
11.如权利要求10所述的基座,其中所述一或多个导电构件的每一个的至少一部分延伸超出所述基底组件。
12.如权利要求10所述的基座,其中所述开槽导电部分是所述空心轴的延伸部分。
13.如权利要求10所述的基座,其中所述介电插头包含多个延伸构件,所述多个延伸构件和位于所述开槽导电部分中的各自狭槽配合。
14.如权利要求13所述的基座,其中所述介电插头包含圆形横剖面,且所述多个延伸构件的每一个由此径向延伸。
15.如权利要求14所述的基座,其中所述多个延伸构件为均等间隔。
CN2009801242236A 2008-06-24 2009-06-23 用于低温pecvd应用的基座加热器 Pending CN102077338A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US7526208P 2008-06-24 2008-06-24
US61/075,262 2008-06-24
PCT/US2009/048253 WO2010008827A2 (en) 2008-06-24 2009-06-23 Pedestal heater for low temperature pecvd application

Publications (1)

Publication Number Publication Date
CN102077338A true CN102077338A (zh) 2011-05-25

Family

ID=41429941

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801242236A Pending CN102077338A (zh) 2008-06-24 2009-06-23 用于低温pecvd应用的基座加热器

Country Status (6)

Country Link
US (1) US20090314208A1 (zh)
JP (1) JP2011525719A (zh)
KR (1) KR101560138B1 (zh)
CN (1) CN102077338A (zh)
TW (1) TWI444501B (zh)
WO (1) WO2010008827A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103871928A (zh) * 2012-12-14 2014-06-18 北京北方微电子基地设备工艺研究中心有限责任公司 半导体设备及其加热器
CN111005007A (zh) * 2018-10-08 2020-04-14 Asm Ip私人控股有限公司 基板支撑单元,薄膜沉积设备及基板处理设备
CN112251734A (zh) * 2015-05-12 2021-01-22 朗姆研究公司 衬底基座

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8884524B2 (en) 2011-11-22 2014-11-11 Applied Materials, Inc. Apparatus and methods for improving reliability of RF grounding
US9706605B2 (en) * 2012-03-30 2017-07-11 Applied Materials, Inc. Substrate support with feedthrough structure
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
KR102014280B1 (ko) * 2014-06-16 2019-08-26 주식회사 원익아이피에스 커넥터 조립체, 기판지지대 및 그를 가지는 기판처리장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9960009B2 (en) * 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10345802B2 (en) 2016-02-17 2019-07-09 Lam Research Corporation Common terminal heater for ceramic pedestals used in semiconductor fabrication
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102137719B1 (ko) * 2016-03-25 2020-07-24 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달이 향상된 세라믹 가열기
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
DE102016110884A1 (de) * 2016-06-14 2017-12-14 Aixtron Se Vorrichtung und Verfahren zum Abscheiden organischer Schichten auf ein oder mehreren Substraten
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI729447B (zh) 2016-09-22 2021-06-01 美商應用材料股份有限公司 用於寬範圍溫度控制的加熱器基座組件
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6615134B2 (ja) * 2017-01-30 2019-12-04 日本碍子株式会社 ウエハ支持台
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102306567B1 (ko) * 2017-05-18 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 개선된 열 균일성을 갖는 열 챔버
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102481410B1 (ko) * 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11469084B2 (en) * 2017-09-05 2022-10-11 Lam Research Corporation High temperature RF connection with integral thermal choke
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111670491A (zh) * 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202013581A (zh) * 2018-05-23 2020-04-01 日商東京威力科創股份有限公司 電漿處理裝置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117594A1 (en) 2018-12-04 2020-06-11 Applied Materials, Inc. Substrate supports including metal-ceramic interfaces
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
JP2020167288A (ja) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102155664B1 (ko) * 2019-09-25 2020-09-15 주식회사 넵시스 박막증착장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240035160A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Susceptor support assembly for chemical vapor deposition chambers
US20240235097A1 (en) * 2023-01-09 2024-07-11 Applied Materials, Inc. Electrical connector for a substrate support assembly

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003500827A (ja) * 1999-05-19 2003-01-07 アプライド マテリアルズ インコーポレイテッド マルチゾーン抵抗ヒータ
JP2004503107A (ja) * 2000-07-07 2004-01-29 アプライド マテリアルズ インコーポレイテッド 複数領域のヒータを大気から隔離する方法
JP2004247210A (ja) * 2003-02-14 2004-09-02 Nhk Spring Co Ltd ヒータユニット及びヒータユニットの製造方法
CN1672873A (zh) * 2004-03-23 2005-09-28 力晶半导体股份有限公司 化学机械研磨制作工艺的假制作工艺与研磨垫调节方法
CN1782133A (zh) * 2004-11-04 2006-06-07 应用材料股份有限公司 六氟化硫远程清洁等离子源
JP2007182622A (ja) * 2005-12-31 2007-07-19 Ips Ltd 薄膜蒸着用ヒータ
KR100836183B1 (ko) * 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5234527A (en) * 1990-07-20 1993-08-10 Tokyo Electron Limited Liquid level detecting device and a processing apparatus
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JPH0654251U (ja) * 1992-12-29 1994-07-22 株式会社茂治 多極コネクタにおけるコードの抜け止め装置
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
EP0746874A1 (en) * 1994-02-23 1996-12-11 Applied Materials, Inc. Chemical vapor deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6206971B1 (en) * 1999-03-29 2001-03-27 Applied Materials, Inc. Integrated temperature controlled exhaust and cold trap assembly
US6495233B1 (en) * 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
JP3962661B2 (ja) * 2002-08-30 2007-08-22 三菱重工業株式会社 静電チャック支持機構及び支持台装置及びプラズマ処理装置
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
JP2007067394A (ja) * 2005-08-05 2007-03-15 Tokyo Electron Ltd 基板処理装置およびそれに用いる基板載置台
JP2007258115A (ja) * 2006-03-24 2007-10-04 Ngk Insulators Ltd 加熱装置
JP2008085129A (ja) * 2006-09-28 2008-04-10 Taiheiyo Cement Corp 基板載置装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003500827A (ja) * 1999-05-19 2003-01-07 アプライド マテリアルズ インコーポレイテッド マルチゾーン抵抗ヒータ
JP2004503107A (ja) * 2000-07-07 2004-01-29 アプライド マテリアルズ インコーポレイテッド 複数領域のヒータを大気から隔離する方法
JP2004247210A (ja) * 2003-02-14 2004-09-02 Nhk Spring Co Ltd ヒータユニット及びヒータユニットの製造方法
CN1672873A (zh) * 2004-03-23 2005-09-28 力晶半导体股份有限公司 化学机械研磨制作工艺的假制作工艺与研磨垫调节方法
CN1782133A (zh) * 2004-11-04 2006-06-07 应用材料股份有限公司 六氟化硫远程清洁等离子源
JP2007182622A (ja) * 2005-12-31 2007-07-19 Ips Ltd 薄膜蒸着用ヒータ
KR100836183B1 (ko) * 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103871928A (zh) * 2012-12-14 2014-06-18 北京北方微电子基地设备工艺研究中心有限责任公司 半导体设备及其加热器
CN103871928B (zh) * 2012-12-14 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 半导体设备及其加热器
CN112251734A (zh) * 2015-05-12 2021-01-22 朗姆研究公司 衬底基座
CN112251734B (zh) * 2015-05-12 2023-03-28 朗姆研究公司 衬底基座
CN111005007A (zh) * 2018-10-08 2020-04-14 Asm Ip私人控股有限公司 基板支撑单元,薄膜沉积设备及基板处理设备

Also Published As

Publication number Publication date
JP2011525719A (ja) 2011-09-22
KR20110033925A (ko) 2011-04-01
TWI444501B (zh) 2014-07-11
TW201016882A (en) 2010-05-01
KR101560138B1 (ko) 2015-10-14
WO2010008827A2 (en) 2010-01-21
WO2010008827A3 (en) 2010-04-15
US20090314208A1 (en) 2009-12-24

Similar Documents

Publication Publication Date Title
CN102077338A (zh) 用于低温pecvd应用的基座加热器
US10971389B2 (en) Multi-zone pedestal for plasma processing
CN102844854B (zh) 宽范围晶圆温度控制的多功能加热器/冷却器基座
US8444926B2 (en) Processing chamber with heated chamber liner
US8206552B2 (en) RF power delivery system in a semiconductor apparatus
US10811301B2 (en) Dual-zone heater for plasma processing
US10910238B2 (en) Heater pedestal assembly for wide range temperature control
CN103890928A (zh) 静电夹盘
JP2020109847A (ja) 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
CN112136202B (zh) 用于在等离子体增强化学气相沉积腔室中抑制寄生等离子体的设备
CN107460451B (zh) 自居中底座加热器
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20110525