JP2011525719A - 低温pecvd用途用のペデスタルヒータ - Google Patents

低温pecvd用途用のペデスタルヒータ Download PDF

Info

Publication number
JP2011525719A
JP2011525719A JP2011516520A JP2011516520A JP2011525719A JP 2011525719 A JP2011525719 A JP 2011525719A JP 2011516520 A JP2011516520 A JP 2011516520A JP 2011516520 A JP2011516520 A JP 2011516520A JP 2011525719 A JP2011525719 A JP 2011525719A
Authority
JP
Japan
Prior art keywords
pedestal
conductive
substrate support
dielectric plug
base assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011516520A
Other languages
English (en)
Inventor
ジアンフア チョウ,
リピオウ ヤップ,
ドミトリー スクルヤー,
モハマド アユブ,
カーティック ジャナキラマン,
フアン, カルロス ロチャ−アルバレス,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011525719A publication Critical patent/JP2011525719A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

加熱支持ペデスタルに電力を供給する方法および装置が提供される。一実施形態では処理キットについて説明する。処理キットは、一端で基板支持体に結合され、反対端でベース組立体に結合された導電性材料で作製された中空シャフトを含み、ベース組立体は、半導体処理ツール上に配置された電源ボックスに結合されている。一実施形態において、ベース組立体は、プラスチック樹脂のような誘電性材料からなるインサート内に配置された少なくとも1つの露出した電気コネクタを備えている。

Description

本発明の実施形態は、一般に半導体処理チャンバに関し、具体的には半導体処理チャンバ用の加熱支持ペデスタル(heated support pedestal)に関する。
半導体処理には、基板上に微細な集積回路を形成する多数の異なる化学的および物理的なプロセスを伴う。集積回路を構成する材料の層が、化学蒸着、物理蒸着、エピタキシャル成長、その他によって作成される。一部の材料層は、フォトレジストマスクと、湿式または乾式のエッチング技法とを用いてパターン形成される。集積回路を形成するのに使用される基板は、シリコン、ガリウムヒ素、リン化インジウム、ガラス、またはその他の適当な材料とすることができる。
集積回路の製造において、プラズマプロセスは、様々な材料層の堆積またはエッチングに頻繁に使用される。プラズマ処理は、熱的処理より優れた多くの利点を有している。例えば、プラズマ促進化学蒸着(PECVD)は、類似の熱的プロセスより低温且つ高堆積速度で堆積プロセスを実施することを可能にする。すなわち、PECVDは、大規模または超大規模集積回路(VLSIまたはULSI)素子作製用などの、緊縮した熱的予算(による集積回路作製にとって有利である。
これらのプロセスにおいて使用される処理チャンバは、通常、処理中に基板を支持するために処理チャンバの中に配置される基板支持体またはペデスタルを含む。プロセスによっては、ペデスタルには、基板の温度を制御する、および/またはプロセスで使用される高温を供給する埋め込み式ヒータを含めてもよい。従来、ペデスタルは、概して望ましい素子作製結果をもたらすセラミック材料で作製することができる。
しかしながら、セラミックペデスタルは多数の課題を生み出す。これらの課題の内の1つは、ペデスタル製造費用がツール費用の大きな部分を占めるために所有費用が高いことである。さらに、ヒータをカプセル化するセラミックを使用すると、ヒータは、素子作製プロセスにおいて使用されうる無線周波数(RF)電力から遮蔽されない。すなわち、RF電力が素子作製プロセスにおいて使用される場合にはヒータを遮蔽するためにRFフィルタを設けなくてはならず、これもツール費用を増大させる。
したがって、費用が少なく、製造が安価な材料で作製されることに加えて、埋め込み式ヒータのRF遮蔽を提供するペデスタルが求められている。
加熱支持ペデスタルに電力を供給する方法および装置が提供される。一実施形態ではプロセスキットについて述べる。本プロセスキットは、一端で基板支持体に、反対端でベース組立体に結合された導電性材料からなる中空シャフトを含み、このベース組立体は、半導体処理ツール上に配置される電源ボックスに結合されている。一実施形態において、ベース組立体は、プラスチック樹脂などの誘電性材料製のインサート内に配置された、少なくとも1つの露出した電気コネクタを備える。
一実施形態では、半導体処理チャンバ用のペデスタルについて記載する。本ペデスタルは、導電性材料を含む基板支持体、基板支持体内部にカプセル化された加熱エレメント、および一端で基板支持体に結合され、反対端で嵌合インターフェイスに結合されている導電性材料を含む中空シャフトを含む。嵌合インターフェイスは、処理チャンバ上に配置された電源コンセントに結合されるとともに、中空シャフトから電気的に絶縁されている少なくとも1つの露出した電気コネクタを含む誘電性プラグを備える。
別の実施形態では半導体処理チャンバ用のペデスタルについて記載する。このペデスタルは、導電性材料を含む基板支持体、基板支持体内部にカプセル化された加熱エレメント、一端で基板支持体に結合され、反対端でベース組立体に結合されている導電性材料を含む中空シャフトを含む。ベース組立体は、内部容積を有するスロット付き導電性部分、および内部容積内に配置された誘電性プラグを含み、この誘電性プラグは、プラグを貫通して縦方向に延びる1つまたは複数の導電性部材を備え、この1つまたは複数の導電性部材の各々は、スロット付き導電性部分から電気的に絶縁されている。
本発明の上記の特徴が詳細に理解されるように、上記に要約された本発明の詳細な説明を、添付の図面に一部を示す実施形態を参照して行う。しかしながら、本発明にはその他の同様に有効な実施形態を含めることができるので、添付の図面は本発明の代表的な実施形態のみを示すものであり、したがって、本発明の範囲を限定するものではない。
プラズマシステムの一実施形態の部分断面図である。 図1に示すペデスタルの一実施形態の等尺上面図である。 図2Aに示すペデスタルの一実施形態の等尺底面図である。 ペデスタルの別の実施形態の一部分の断面図である。 ペデスタルの別の実施形態の等尺分解図である。 ベース組立体の一実施形態の底部等尺図である。 ベース組立体の別の実施形態の断面図である。 本明細書に記載したペデスタルの基板支持体表面の概略上面図である。 A〜Cは、本明細書に記載したペデスタルの3つの異なる加熱プロファイルから取得されたデータのグラフである。
理解を容易にするために、図に共通な同一の要素を示すのに、できる限り同一の参照番号を使用した。1つの実施形態で開示された要素を、具体的な記述なしにその他の実施形態に便宜的に使用することを意図するものである。
後述では、本発明の実施形態を、参照プラズマチャンバを参照して実証的に説明する。一実施形態では、プラズマチャンバは、プラズマ促進化学蒸着(PECVD)システムにおいて使用される。本発明の恩恵を受けるように構成できるPECVDシステムの例としては、PRODUCER(登録商標)SE CVDシステム、PRODUCER(登録商標)GTTMCVDシステムまたはDXZ(登録商標)CVDシステムが挙げられる。これらのすべては、カリフォルニア州サンタクララのApplied Mterials社により市販されているものである。PRODUCER(登録商標)SE CVDシステム(例えば、200mmまたは300mm)は2つの隔離された処理領域を有し、これらの処理領域は、導電性膜、シラン類、炭素ドープされたシリコン酸化物、およびその他の材料などの、薄膜を基板上に堆積させるのに使用できるものであり、共に参照により本明細書に包含される米国特許第5855681号および6495233号に記載されている。DXZ(登録商標)CVDチャンバは、やはり本明細書に包含される米国特許第6364954号に開示されている。例示的な実施形態は2つの処理領域を含むが、本発明は、単独の処理領域または3つ以上の処理領域を有するシステムにおいて有利に使用できることを意図している。また、本発明は、とりわけエッチングチャンバ、イオン注入チャンバ、プラズマ処理チャンバ、およびストリッピングチャンバを含むその他のプラズマチャンバ類において有利に利用できることを目的とするものである。さらに、本発明は、その他の製造メーカより入手可能なプラズマ処理チャンバにおいても有利に利用できることを目的とするものである。
図1は、プラズマシステム100の部分断面図である。プラズマシステム100は、全体的には、側壁112、底部壁116、および一対の処理領域120Aおよび120Bを画定する内部側壁101を有する処理チャンバ本体102を備える。処理領域120A、Bの各々は同様に構成されており、説明を簡単にするために、処理領域120Bにおける構成要素だけについて説明する。
ペデスタル128は、システム100内の底部壁116に形成された通路122を通して処理領域120B内に配置される。ペデスタル128は、その上部表面上の基板(図示せず)を支持するように適合されている。ペデスタル128は、基板温度を所望のプロセス温度に加熱し、制御するために、加熱エレメント、例えば抵抗エレメントを含んでもよい。代替的に、ペデスタル128は、ランプ組立体のような遠隔式加熱エレメントによって加熱してもよい。
ペデスタル128は、ステム126によって電源コンセントまたは電源ボックス103に結合されており、この電源ボックス103には、処理領域120B内部でペデスタル128の高さおよび移動を制御する駆動システムを含めてもよい。ステム126はまた、ペデスタル28に電力を供給する電力インターフェイスを収納している。電源ボックス103はまた、電力用のインターフェイスおよび熱電対インターフェイスのような、温度指示計用のインターフェイスも含む。ステム126はまた、電源ボックス103に着脱可能に結合するベース組立体129も含む。円周リング135が電源ボックス103の上方に示されている。一実施形態において、円周リング135は、ベース組立体129と電源ボックス103の上部表面との間の機械的インターフェイスを提供するように構成された機械的ストッパまたはランドとして構成された肩部である。
ロッド130は、底部壁116内に形成された通路124内に配置され、ペデスタル128内に配置された基板リフトピン161を起動するのに使用される。基板リフトピン161は、基板とペデスタルとの間隔を選択的に空けることにより、基板移送ポート160を通して基板を処理領域120Bから出し入れして移送するのに使用されるロボット(図示せず)による基板の交換を容易にする。
チャンバ蓋104は、チャンバ本体102の上部に結合されている。蓋104は、それに結合された1つまたは複数のガス供給システム108を収容する。ガス供給システム108は、反応ガスおよび洗浄ガスを、シャワーヘッド組立体142を介して処理領域120B中に供給するガス流入路140を含む。シャワーヘッド組立体142は、フェースプレート146の中間に配置された遮断(blocker)プレート144を有する環状ベースプレート148を含む。無線周波数(RF)源165はシャワーヘッド組立体142に結合されている。RF源165は、シャワーヘッド組立体142に給電して、シャワーヘッド組立体142のフェースプレート146と加熱されたペデスタル128との間のプラズマの生成を促進にする。一実施形態においては、RF源165は、13.56MHzRF発生器のような高周波無線周波数(HFRF)電源としてもよい。別の実施形態においては、RF源165は、HFRF電源および、300kHzRF発生器のような低周波無線周波数(LFRF)電源を含んでもよい。代替的に、RF源は、ペデスタル128などの処理チャンバ本体102のその他の部分に結合してプラズマ生成を促進してもよい。誘電絶縁体158は、蓋104とシャワーヘッド組立体142との間に配置されて、RF電力の蓋104への伝導を防止する。シャドーリング(shadow ring)106は、ペデスタル128の所望の高さに基板を係合するペデスタル128の周辺部上に配置してもよい。
任意選択で、動作中にガス供給システム108の環状ベースプレート148を冷却するために、環状ベースプレート148内に冷却チャネル147を形成する。水、エチレングリコール、ガスまたはその他などの熱伝達流体を、ベースプレート148が所定の温度に維持されるように、冷却チャネル147を通して循環させてもよい。
チャンバライナ(chamber liner)組立体127は、処理領域120B内部の処理環境に側壁101、112が露出するのを防止するために、処理チャンバ120B内部で、チャンバ本体102の側壁101、112に非常に近接して配置される。ライナ組立体127は、ガスおよび副生成物を処理領域120Bから排出して、処理領域120B内部の圧力を制御するように構成されたポンプシステム164に結合された円周ポンプ空隙125を含む。複数の排気ポート131をチャンバライナ組立体127上に形成してもよい。排気ポート131は、システム100内部の処理を促進するように、処理領域120Bから円周ポンプ空隙125へのガスの流れを可能にするように構成されている。
図2Aは、プラズマシステム100内で使用されるペデスタル128の一実施形態の等尺上面図である。ペデスタル128は、ステム126と、円形基板支持体205の反対側のベース組立体129とを含む。一実施形態において、ステム126は、管状部材または中空シャフトとして構成される。一実施形態において、ベース組立体129は、電源コンセントまたは電源ボックス103の中または組立体の上に配置される電気接続部との着脱可能な嵌合インターフェイスとして使用される。基板支持体205は、実質的に平面状である基板受入表面または支持表面210を含む。支持表面210は、200mm基板、300mm基板、または450mm基板を支持するように適合してもよい。一実施形態において、支持表面210は、支持表面210の平面の上方に延びうるバンプまたは突起としてもよい複数の構造215を含む。複数の構造215の各々の高さは、支持表面210よりわずかに高いか、または支持表面から離間した実質的に平面状の基板受入面または表面を提供することと実質的に同等である。一実施形態においては、構造215の各々は、支持表面210の材料と異なる材料で形成されるか、または被覆されている。基板支持体205はまた、リフトピン161(図1)を受け入れるように適合されているか、リフトピンを貫通させるように形成された複数の開口220を含む。
一実施形態において、基板支持体205の本体、およびステム126は、導電性金属材料で作製されており、これに対し、ベース組立体129は、導電性金属材料と絶縁性材料との組み合わせで作製されている。基板支持体205を導電性金属材料で作製することにより、セラミック製の基板支持体と比較して所有費用が低減する。さらに、導電性金属材料は、RF電力から埋め込み式ヒータ(この図では示さず)を遮蔽する役割を果たす。このことによって、基板支持体205の効率と寿命が向上し、これによって所有費用が減少する。
一実施形態において、基板支持体205の本体、およびステム126は、アルミニウム合金のようなアルミニウム材料だけで作製される。ある特定の実施形態においては、基板支持体205とステムの両方が6061Alで作製される。一実施形態において、ベース組立体129は、アルミニウム部分と、その中に配置されたポリエーテルエーテルケトン(PEEK)樹脂のような絶縁性の部分とを含み、ベース組立体129を部分的に、基板支持体205およびステム126の導電性部分から電気的に絶縁する。一実施形態においては、基板支持体205の本体はアルミニウム材料で作製されるが、それに対して支持表面210上に配置される構造215のそれぞれは、アルミニウム酸化物のようなセラミック材料で作製されるか、または被覆される。
図2Bは、ペデスタル128の一実施形態の等尺底面図である。ステム126は、基板支持体205に結合された第1端と、基板支持体205の反対側の第2端におけるベース組立体129とを含む。この実施形態において、ベース組立体129は、誘電性プラグ230に結合されており、および/または誘電性プラグを収納するスロット付き導電性部分225を含んでいる。一実施形態において、スロット付き導電性部分225は、電源ボックス103(図1)と嵌合するプラグまたは雄インターフェイスとして構成してもよい。この実施形態において、導電性部分225は、少なくとも部分的に外部表面または壁を貫通して形成されたスロットを有し、円形横断面を有してもよい。誘電性プラグ230は、ソケットまたは雌インターフェイスとして構成されるか、あるいは代替的に、電源ボックス103内部の電気接続部を受け入れるか、または電気接続部と嵌合するソケットまたは雌インターフェイスとして構成された、1つまたは複数の部分を含んでもよい。この実施形態において、スロット付き導電性部分225は、ステム126の一体型の延長部としてアルミニウム材料から作製してもよいのに対し、誘電性プラグ230はPEEK樹脂で作製される。
ベース組立体129はまた、図1の電源ボックス103とインターフェイスで連結するOリング240を受け入れる円周リング135を含む。この実施形態において、スロット付き導電性部分225は、誘電性プラグ230を受け入れる開口を含み、誘電性プラグ230はスロット付き導電性部分225に締結される。誘電性プラグ230はまた、電源ボックス103から電気リード線を受け入れるように、その中に形成された開口またはソケットを含む。
図3Aは、図1に示すような電源ソケットまたは電源ボックス103に結合されたステム126を有するペデスタル128の一実施形態の部分断面図である。基板支持体205は、導電性本体300内に配置されるか、またはカプセル化された抵抗性ヒータ305のような埋め込み型加熱エレメントを含む。一実施形態において、本体300は、アルミニウムのような導電性材料からなる材料で作製される。抵抗性ヒータ305は、ステム126内に配置された導電性リード線315によって、電源ボックス103内に配置された電源310に結合される。ステム126はまた、熱電対(図示せず)を受け入れる縦方向チャネルまたは穴350も含む。この実施形態において、誘電性プラグ230は、導電性リード線315を電源ボックス103内に配置された対応するソケット326に結合するように、誘電性プラグの中に配置された1つまたは複数の導電性プラグ320を含む。一実施形態において、導電性プラグ320は多接点プラグである。導電性リード線315および導電性プラグ320は、動作中に電気的にバイアスをかけてもよいが、誘電性プラグ230の外周壁325によって、スロット付き導電性部分225、ステム126、および基板支持体205から電気的に絶縁されている。
一実施形態において、ステム126および基板支持体205は、アルミニウム製であり、電気的に接地されている。アルミニウム材料は、加熱エレメントをカプセル化して、抵抗性ヒータ305に対する有効なRF遮蔽として作用する。アルミニウム材料によるRF遮蔽は、セラミックのような異なる材料で作製された加熱ペデスタルにおいて必要になることのある、抵抗性ヒータ305へのRF結合をフィルタ除去するためのバンドパスフィルタを不要にする。抵抗性ヒータ305用の電力端子として導電性プラグ320を使用する電気インターフェイスの設計は、専用設計の電気コネクタと反対に、電源ボックス103からの標準ゲージワイヤおよびコネクタの使用を可能にする。導電性プラグ320は、PEEK樹脂を含む固有のベース設計上に装着される。導電性プラグ320は、ベース組立体129の導電性部分225に締結される誘電体プラグ230によって機械的に支持される、電力端子組立体を含む。PEEK樹脂は、ライブ電力端子(導電性プラグ320)を、接地されたヒータ本体(基板支持体205およびステム126)に対して電気的に絶縁する。すなわち、ペデスタル128は、バンドパスフィルタの除去によって費用を最小化するとともに、より安価なアルミニウム材料を使用し、これにより所有費用が大幅に低減される。さらに、本明細書において記述したペデスタル128は、高価な再設計および/または休止時間なして、既存のチャンバ内の最初のペデスタルを置換するために後付けすることができる。
図3Bは、ペデスタル128の別の実施形態の等尺分解図である。図示のように、セラミック材料から作製できる複数のスリーブまたはインサート360を、基板支持体205内に配置された開口220(図2Aおよび2B)によって受け入れてもよい。インサート360は、リフトピン161(図1)を受け入れる。ベース組立体129は、スロット付き導電性部分225および誘電性プラグ230を含む。スロット付き導電性部分225は、誘電性プラグ230の下部に配置された延長部材または耳部362を受け入れる半径方向スロットを含む。スロット付き導電性部分225と誘電性プラグ230は、ボルトまたはネジのような締結具365によって互いに結合されている。一実施形態において、締結具365は、導電性部分225に結合されるか、または導電性部分の中に配置されている対応するネジ付インサート370と結合する。一実施形態において、ネジ付インサート370はHELICOIL(登録商標)を備える。
導電性プラグ320(1つだけを図示)は、誘電性プラグ230のキャップ部内に導電性プラグ320を保持するストッパまたは結合部として適合された肩部363を有するシャフトを含む。導電性プラグ320は、雌ネジを有する導電性インサート375中にねじ込まれるネジ付端部364を含むことができる。一実施形態において、導電性プラグ320は黄銅材料で作製されて銀(Ag)でメッキされており、導電性インサート375は黄銅材料で作製されている。導電性インサート375は、PEEK樹脂のような誘電性材料で作製できる絶縁性ジャケット380中に挿入してもよい。熱電対(図示せず)を誘導して装着するための誘導部材385は、ジャケット380に結合されるか、またはジャケットに隣接して配置されてジャケットから延びている。誘導部材385は、アルミニウム材料で作製してもよい。
図3Cは、ベース組立体129の底部等尺図である。誘電性プラグ230は、スロット付き導電性部分225にぴったりと嵌る実質的に円形の本体を含む。一実施形態においては、耳部362の各々は、本体から半径方向外側に延びて、実質的に等間隔に配置されている。一実施形態においては、耳部362の各々は、例えば120°間隔など、等角度の増分で位置している。誘電性プラグ230の本体はまた、開口390および開口392のような複数の凹部または開口を含む。一実施形態において、開口390は、電源ボックス103(図示せず)上に配置される雄プラグを受け入れるために使用される台形の雌インターフェイスである。1つまたは複数の導電性プラグ320が開口390内部に収容されている。開口392は、熱電対(図示せず)および/または熱電対と結合する信号線の一部分を受け入れる雌インターフェイスとしてもよい。導電性部分の底部表面は、1つまたは複数の凹部または開口394も含み、これらは割出ピンまたは装着インターフェイスに適合させてもよい。一実施形態において、開口394の少なくとも1つを、導電性材料製のピンのような接地装置を受け入れるように適合させてもよい。
図4は、ベース組立体129の一実施形態の断面図である。円周リング135は、Oリングのようなシール410を受け入れるように、リング内に形成された溝を含む。シール410は、絶縁性材料、またはスロット付き導電性部分225の接地を促進するための導電性材料で作製してもよい。この実施形態において、導電性プラグ320は、それぞれの導電性インサート375に結合されている。一実施形態では、導電性インサート375の各々は、絶縁性ジャケット380によって、ベース組立体129の他の導電性部分から、および互いから、電気的に絶縁されている。各絶縁性ジャケット380は、PEEK樹脂のような絶縁性材料で作製してもよい。一実施形態において、導電性リード線315の少なくとも一部分が、絶縁性ジャケット380と導電性インサート375の両方の中に少なくとも部分的に延びて、導電性リード線315を導電性プラグ320と電気的に導通させる。一態様において、導電性プラグ320は導電性リード線315と接触していない。
図5は、本明細書において記述するペデスタル128の基板支持体205の概略上面図である。基板支持体205は、例示的に、300mm基板用途に使用するように寸法決めされている。本発明および実施例を説明するのを補助するために、基板支持体205の支持表面210は、図表上で7つの別の同心円に分割されている。各同心円の内径をアジマスと呼ぶ。アジマスは、半径23mm、46mm、69mm、92mm、115mm、および137mmに位置している。図5の図表は、さらにスポークによって分割されている。スポークは、円の中心から半径方向外側に延びている。スポークは30°毎に存在し、合計で12本生成されている。中心点を含めて、支持表面210上には73の交差点がある(12本のスポークが中心半径を含む6つのアジマスと交差している)。
図6Aは、各アジマス(R0=支持表面210の中心、R6=最外側アジマス)まわりの平均温度プロファイルのグラフである。アジマスまわりの温度測定は、スポーク交差点において行われた。この例においては、ペデスタル128が、厚さが7mmの300mm炭化ケイ素ウエハーを支持するのに使用された。ヒータ温度は400℃に設定され、圧力は4Torrに設定された。2標準リットル毎分の流量のアルゴンをチャンバに流した。標準ベース温度は75±1℃であった。各アジマスにおけるペデスタルの平均温度は389℃〜392℃の間であった。
図6Bは、6つのアジマスの各々のまわりの温度範囲のグラフである。図6Bのデータは、3つの異なる操業(操業A、B、およびC)の間に、上記の例と同じプロセスパラメータの下で収集された。この範囲は、各アジマスのまわりの12の点(30°、60°、90°、…、330°)で構成されており、これらの点ではアジマスがスポークと交差している。アジマスR1〜R6の個々に対する温度の範囲は、通常、7℃未満であった。例えば、一例において、温度の範囲は第2アジマス上で約5℃であった。例示として、温度の範囲は、任意のデータセットに対し、最大値と最小値の間の差で定義されている。
図6Cは、12のスポークの各々に沿った温度範囲のグラフである。図6Cにおけるデータは、上記の例と同じプロセスパラメータの下で収集された。3つの異なる操業(操業A、B、およびC)に対して、アジマス交差点における各スポークの長さに沿った温度の範囲が計算された。3つの操業に対する各スポークに沿った温度の範囲は、約3℃〜約8℃であった。例えば、1回の操業において、60°スポーク上の温度の範囲は約5℃であった。
一実施形態において、基板上に薄膜を堆積させる方法が、二重処理領域120A、120Bを使用して説明される。この方法は、処理チャンバの各処理領域において、中に配置された各ペデスタル128上に、少なくとも1つの基板を設けることを含む。ペデスタル128は、導電性材料を含む基板支持体205、基板支持体の内部にカプセル化された抵抗性ヒータ305、および一端において基板支持体に結合された導電性材料を含むステム126を含む。基板支持体はまた、反対端に、嵌合インターフェイスとして構成されたベース組立体129を含む。嵌合インターフェイスは、処理チャンバ上に配置された電源ソケットに結合され、且つ中空シャフトから電気的に絶縁されている少なくとも1つの露出した電気コネクタを含む誘電性プラグ230を含む。この方法はまた、1つまたは複数の反応性ガスを、処理領域120A、120Bの少なくとも一方に流すこと、およびシャワーヘッド組立体142と基板支持体205との間のRFエネルギーを使用して、プラズマを生成することとを含む。一実施形態において、反応性ガスは水素などのキャリヤガス内に流してもよい。
前述は本発明の実施形態に関係するが、本発明のその他の実施形態およびさらなる実施形態も、本発明の基本範囲から逸脱することなく考案することができ、その範囲は添付の特許請求の範囲によって定義される。

Claims (15)

  1. 半導体処理チャンバ用のペデスタルであって、
    導電性材料を含む基板支持体;
    前記基板支持体内部にカプセル化された加熱エレメント;および
    一端において前記基板支持体に結合され、反対端において嵌合インターフェイスに結合されている導電性材料を含む中空シャフト
    を備え、前記嵌合インターフェイスが、前記処理チャンバ上に配置された電源ソケットに結合され且つ前記中空シャフトから電気的に絶縁されている少なくとも1つの露出した電気コネクタを含む誘電性プラグを備えている、ペデスタル。
  2. 前記嵌合インターフェイスが、少なくとも部分的に外表面を通るように形成された複数のスロットをさらに備えている、請求項1に記載のペデスタル。
  3. 前記誘電性プラグが、対応するスロットと嵌合する複数の延長部材を備えている、請求項2に記載のペデスタル。
  4. 前記誘電性プラグが円形横断面を有しており、前記複数の延長部材の各々がそこから半径方向に延びている、請求項3に記載のペデスタル。
  5. 前記複数の延長部材が等間隔に配置されている、請求項4に記載のペデスタル。
  6. 前記嵌合インターフェイスが、その外部表面上に円周リングをさらに備えている、請求項1に記載のペデスタル。
  7. 前記円周リングが、前記処理チャンバの密封を促進するOリングを備えている、請求項6に記載のペデスタル。
  8. 前記基板支持体が、支持表面上に配置された複数の突起を備える基板受入表面を含み、前記複数の突起の各々が、セラミック材料で作製されているか、または被覆されている、請求項1に記載のペデスタル。
  9. 前記少なくとも1つの露出した電気コネクタは、前記中空シャフト内に配置された導電性リード線と電気的に導通している、請求項1に記載のペデスタル。
  10. 半導体処理チャンバ用のペデスタルであって、
    導電性材料を含む基板支持体;
    前記基板支持体内部にカプセル化された加熱エレメント;
    一端において前記基板支持体に結合され、他端においてベース組立体に結合されている導電性材料を含む中空シャフト
    を備え、前記ベース組立体が、
    内部容積を有するスロット付き導電性部分;および
    前記内部容積内に配置された誘電性プラグであって、誘電性プラグを縦方向に通り且つ各々が前記スロット付き導電性部分から電気的に絶縁されている1つまたは複数の導電性部材を含む誘電性プラグ
    を備えている、ペデスタル。
  11. 前記1つまたは複数の導電性部材の各々の少なくとも一部分が前記ベース組立体の外に延びている、請求項10に記載のペデスタル。
  12. 前記スロット付き導電性部分が前記中空シャフトの延長部である、請求項10に記載のペデスタル。
  13. 前記誘電性プラグが、前記スロット付き導電性部分内の対応するスロットと嵌合する複数の延長部材を備えている、請求項10に記載のペデスタル。
  14. 前記誘電性プラグが円形横断面を有しており、前記複数の延長部材の各々がそこから半径方向に延びている、請求項13に記載のペデスタル。
  15. 前記複数の延長部材が等間隔に配置されている、請求項14に記載のペデスタル。
JP2011516520A 2008-06-24 2009-06-23 低温pecvd用途用のペデスタルヒータ Pending JP2011525719A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US7526208P 2008-06-24 2008-06-24
US61/075,262 2008-06-24
PCT/US2009/048253 WO2010008827A2 (en) 2008-06-24 2009-06-23 Pedestal heater for low temperature pecvd application

Publications (1)

Publication Number Publication Date
JP2011525719A true JP2011525719A (ja) 2011-09-22

Family

ID=41429941

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011516520A Pending JP2011525719A (ja) 2008-06-24 2009-06-23 低温pecvd用途用のペデスタルヒータ

Country Status (6)

Country Link
US (1) US20090314208A1 (ja)
JP (1) JP2011525719A (ja)
KR (1) KR101560138B1 (ja)
CN (1) CN102077338A (ja)
TW (1) TWI444501B (ja)
WO (1) WO2010008827A2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013514669A (ja) * 2009-12-18 2013-04-25 アプライド マテリアルズ インコーポレイテッド 広範囲ウエハ温度制御のための多機能ヒータ/冷却装置ペデスタル
KR20150144139A (ko) * 2014-06-16 2015-12-24 주식회사 원익아이피에스 커넥터 조립체, 기판지지대 및 그를 가지는 기판처리장치
KR20190042523A (ko) * 2011-07-15 2019-04-24 에이에스엠 저펜 가부시기가이샤 웨이퍼 지지 디바이스 및 그 제조 방법 그리고 반도체 가공 장치
JP2019519685A (ja) * 2016-06-14 2019-07-11 アイクストロン、エスイー コーティング装置およびコーティング方法
KR102155664B1 (ko) * 2019-09-25 2020-09-15 주식회사 넵시스 박막증착장치
JP2020532869A (ja) * 2017-09-05 2020-11-12 ラム リサーチ コーポレーションLam Research Corporation 一体型サーマルチョークによる高温rf接続
JP2022510433A (ja) * 2018-12-06 2022-01-26 アプライド マテリアルズ インコーポレイテッド 処理チャンバの耐食性接地シールド
KR20220151000A (ko) * 2012-10-26 2022-11-11 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 장치 및 프로세스

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8884524B2 (en) 2011-11-22 2014-11-11 Applied Materials, Inc. Apparatus and methods for improving reliability of RF grounding
US9706605B2 (en) * 2012-03-30 2017-07-11 Applied Materials, Inc. Substrate support with feedthrough structure
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103871928B (zh) * 2012-12-14 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 半导体设备及其加热器
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9960009B2 (en) * 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10345802B2 (en) 2016-02-17 2019-07-09 Lam Research Corporation Common terminal heater for ceramic pedestals used in semiconductor fabrication
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN108885973B (zh) * 2016-03-25 2023-09-08 应用材料公司 具有强化的rf功率传输的陶瓷加热器
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI671851B (zh) 2016-09-22 2019-09-11 美商應用材料股份有限公司 用於寬範圍溫度控制的加熱器基座組件
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6615134B2 (ja) * 2017-01-30 2019-12-04 日本碍子株式会社 ウエハ支持台
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11978646B2 (en) * 2017-05-18 2024-05-07 Applied Materials, Inc. Thermal chamber with improved thermal uniformity
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102481410B1 (ko) * 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
CN111670491A (zh) * 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202013581A (zh) * 2018-05-23 2020-04-01 日商東京威力科創股份有限公司 電漿處理裝置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) * 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11499229B2 (en) 2018-12-04 2022-11-15 Applied Materials, Inc. Substrate supports including metal-ceramic interfaces
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP2020167288A (ja) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240035160A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Susceptor support assembly for chemical vapor deposition chambers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0654251U (ja) * 1992-12-29 1994-07-22 株式会社茂治 多極コネクタにおけるコードの抜け止め装置
JPH09509534A (ja) * 1994-02-23 1997-09-22 アプライド マテリアルズ, インコーポレイテッド 改良型化学気相堆積チャンバ
JP2004503107A (ja) * 2000-07-07 2004-01-29 アプライド マテリアルズ インコーポレイテッド 複数領域のヒータを大気から隔離する方法
JP2007067394A (ja) * 2005-08-05 2007-03-15 Tokyo Electron Ltd 基板処理装置およびそれに用いる基板載置台
JP2008085129A (ja) * 2006-09-28 2008-04-10 Taiheiyo Cement Corp 基板載置装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5234527A (en) * 1990-07-20 1993-08-10 Tokyo Electron Limited Liquid level detecting device and a processing apparatus
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6206971B1 (en) * 1999-03-29 2001-03-27 Applied Materials, Inc. Integrated temperature controlled exhaust and cold trap assembly
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6495233B1 (en) * 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
JP3962661B2 (ja) * 2002-08-30 2007-08-22 三菱重工業株式会社 静電チャック支持機構及び支持台装置及びプラズマ処理装置
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
JP4098112B2 (ja) * 2003-02-14 2008-06-11 日本発条株式会社 ヒータユニット
CN100363152C (zh) * 2004-03-23 2008-01-23 力晶半导体股份有限公司 化学机械研磨制作工艺的假制作工艺与研磨垫调节方法
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
KR100804169B1 (ko) * 2005-12-31 2008-02-18 주식회사 아이피에스 박막증착챔버용 서셉터
JP2007258115A (ja) * 2006-03-24 2007-10-04 Ngk Insulators Ltd 加熱装置
KR100836183B1 (ko) * 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0654251U (ja) * 1992-12-29 1994-07-22 株式会社茂治 多極コネクタにおけるコードの抜け止め装置
JPH09509534A (ja) * 1994-02-23 1997-09-22 アプライド マテリアルズ, インコーポレイテッド 改良型化学気相堆積チャンバ
JP2004503107A (ja) * 2000-07-07 2004-01-29 アプライド マテリアルズ インコーポレイテッド 複数領域のヒータを大気から隔離する方法
JP2007067394A (ja) * 2005-08-05 2007-03-15 Tokyo Electron Ltd 基板処理装置およびそれに用いる基板載置台
JP2008085129A (ja) * 2006-09-28 2008-04-10 Taiheiyo Cement Corp 基板載置装置

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013514669A (ja) * 2009-12-18 2013-04-25 アプライド マテリアルズ インコーポレイテッド 広範囲ウエハ温度制御のための多機能ヒータ/冷却装置ペデスタル
KR20190042523A (ko) * 2011-07-15 2019-04-24 에이에스엠 저펜 가부시기가이샤 웨이퍼 지지 디바이스 및 그 제조 방법 그리고 반도체 가공 장치
KR102303060B1 (ko) * 2011-07-15 2021-09-15 에이에스엠 저펜 가부시기가이샤 웨이퍼 지지 디바이스 및 그 제조 방법 그리고 반도체 가공 장치
US11898249B2 (en) 2012-10-26 2024-02-13 Applied Materials, Inc. PECVD process
KR102616154B1 (ko) * 2012-10-26 2023-12-20 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 장치 및 프로세스
KR20220151000A (ko) * 2012-10-26 2022-11-11 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 장치 및 프로세스
KR20150144139A (ko) * 2014-06-16 2015-12-24 주식회사 원익아이피에스 커넥터 조립체, 기판지지대 및 그를 가지는 기판처리장치
KR102014280B1 (ko) * 2014-06-16 2019-08-26 주식회사 원익아이피에스 커넥터 조립체, 기판지지대 및 그를 가지는 기판처리장치
JP6997727B2 (ja) 2016-06-14 2022-01-18 アイクストロン、エスイー コーティング装置およびコーティング方法
JP2019519685A (ja) * 2016-06-14 2019-07-11 アイクストロン、エスイー コーティング装置およびコーティング方法
JP2020532869A (ja) * 2017-09-05 2020-11-12 ラム リサーチ コーポレーションLam Research Corporation 一体型サーマルチョークによる高温rf接続
JP7175967B2 (ja) 2017-09-05 2022-11-21 ラム リサーチ コーポレーション 一体型サーマルチョークによる高温rf接続
JP7419483B2 (ja) 2017-09-05 2024-01-22 ラム リサーチ コーポレーション 一体型サーマルチョークによる高温rf接続
JP2022510433A (ja) * 2018-12-06 2022-01-26 アプライド マテリアルズ インコーポレイテッド 処理チャンバの耐食性接地シールド
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
JP7460626B2 (ja) 2018-12-06 2024-04-02 アプライド マテリアルズ インコーポレイテッド 処理チャンバの耐食性接地シールド
KR102155664B1 (ko) * 2019-09-25 2020-09-15 주식회사 넵시스 박막증착장치

Also Published As

Publication number Publication date
US20090314208A1 (en) 2009-12-24
TWI444501B (zh) 2014-07-11
WO2010008827A3 (en) 2010-04-15
TW201016882A (en) 2010-05-01
KR101560138B1 (ko) 2015-10-14
KR20110033925A (ko) 2011-04-01
CN102077338A (zh) 2011-05-25
WO2010008827A2 (en) 2010-01-21

Similar Documents

Publication Publication Date Title
JP2011525719A (ja) 低温pecvd用途用のペデスタルヒータ
US10971389B2 (en) Multi-zone pedestal for plasma processing
JP5813005B2 (ja) 広範囲ウエハ温度制御のための多機能ヒータ/冷却装置ペデスタル
TWI383468B (zh) 半導體設備中的射頻功率傳輸系統
KR100854620B1 (ko) 낮은 아킹 경향, 원통형 가스 출구들, 및 형상 표면을 갖는플라즈마 리액터 오버헤드 전원 전극
KR101464292B1 (ko) 가열된 챔버 라이너를 갖는 처리 챔버
US10811301B2 (en) Dual-zone heater for plasma processing
JP2015536043A (ja) 基板処理システムにおける温度制御
US10910238B2 (en) Heater pedestal assembly for wide range temperature control
CN107460451B (zh) 自居中底座加热器
TWI737059B (zh) 低溫靜電吸盤
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120622

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130613

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130910

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130918

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140408

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140909