KR20110033925A - 저온 pecvd 적용을 위한 받침대 히터 - Google Patents

저온 pecvd 적용을 위한 받침대 히터 Download PDF

Info

Publication number
KR20110033925A
KR20110033925A KR1020117001770A KR20117001770A KR20110033925A KR 20110033925 A KR20110033925 A KR 20110033925A KR 1020117001770 A KR1020117001770 A KR 1020117001770A KR 20117001770 A KR20117001770 A KR 20117001770A KR 20110033925 A KR20110033925 A KR 20110033925A
Authority
KR
South Korea
Prior art keywords
pedestal
processing chamber
semiconductor processing
conductive
substrate support
Prior art date
Application number
KR1020117001770A
Other languages
English (en)
Other versions
KR101560138B1 (ko
Inventor
지안후아 주
립엘로우 얍
디미트리 스키야르
모하매드 아유브
카틱 자나키라만
주안 칼로스 로차-알바레즈
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110033925A publication Critical patent/KR20110033925A/ko
Application granted granted Critical
Publication of KR101560138B1 publication Critical patent/KR101560138B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

가열된 지지용 받침대에 동력을 제공하기 위한 방법 및 장치가 제공된다. 일 실시예에서, 공정 키트가 제공된다. 상기 공정 키트는 한 단부에서 기판 지지대와 다른 대향 단부에서 베이스 조립체에 연결되는 전도성 재료로 제조되는 중공형 샤프트를 포함하며, 상기 베이스 조립체는 반도체 처리 툴 상에 배열되는 파워 박스에 결합되도록 구성된다. 일 실시예에서, 상기 베이스 조립체는 플라스틱 수지와 같은 유전체 재료로 제조된 인서트 내에 배열되는 적어도 하나의 노출된 전기 커넥터를 포함한다.

Description

저온 PECVD 적용을 위한 받침대 히터 {PEDESTAL HEATER FOR LOW TEMPERATURE PECVD APPLICATION}
본 발명의 실시예들은 일반적으로 반도체 처리 챔버에 관한 것이며, 더 구체적으로 반도체 처리 챔버용 가열식 지지 받침대에 관한 것이다.
반도체 처리에는 기판 상에 미소 집적 회로를 형성하기 위한 다수의 상이한 화학적 및 물리적 공정들을 포함한다. 집적 회로를 형성하는 재료 층들은 화학 기상 증착, 물리 기상 증착, 에피택셜 성장 등에 의해 형성된다. 이들 재료 층의 일부는 포토레지스트 마스크 및 습식 또는 건식 에칭 기술을 사용하여 패턴화된다. 집적 회로를 형성하는데 사용되는 기판은 실리콘, 갈륨 비소(gallium arsenide), 인듐 인(indium phospide), 글라스, 또는 다른 적합한 재료일 수 있다.
집적 회로 제작에 있어서, 다양한 재료 층의 증착 또는 에칭을 위해 플라즈마 공정이 종종 사용된다. 플라즈마 공정은 열 처리 공정보다 종종 많은 장점을 제공한다. 예를 들어, 플라즈마 강화 화학 기상 증착(PECVD)은 유사한 열처리 공정에서 달성될 수 있는 것보다 더 저온 및 더 높은 증착 속도에서 증착 공정이 수행될 수 있게 한다. 따라서, PECVD는 예를 들어, 대규모 또는 초대규모 집적 회로(VLSI 또는 ULSI) 소자 제작을 위한 엄격한 열 운영(thermal budget)에 따른 집적 회로 제작에 유리하다.
이들 공정에 사용되는 처리 챔버들은 통상적으로, 처리 공정 중에 기판을 지지하기 위해 내부에 배열되는 기판 지지대 또는 받침대를 포함한다. 몇몇 공정에서, 받침대는 기판 온도를 제어 및/또는 상기 처리 공정에 사용될 수 있는 상승 온도를 제공하도록 구성되는 매설형 히터(embedded heater)를 포함할 수 있다. 통상적으로, 받침대는 일반적으로 바람직한 소자 제작 결과를 제공하는 세라믹 재료로 제조될 수 있다.
그러나, 세라믹 받침대는 다수의 도전을 제시한다. 이들 도전 중의 하나는 받침대 제작 비용이 툴 비용의 상당한 부분을 차지함으로 인해 소유 비용을 상승시킨다는 점이다. 또한, 히터를 캡슐화하기 위해 세라믹을 사용하는 것에 의해 집적회로 소자의 제작 공정에 사용될 수 있는 RF 파워로부터 히터를 차폐하지 못한다. 따라서, RF 파워가 집적회로 소자의 제작 공정에 사용되면, 히터를 차폐하기 위해 RF 필터가 제공되어야 하는데, 이는 툴 비용을 증가시키게 된다.
그러므로, 매설형 히터의 RF 차폐물을 제작 및 제공하는데 저렴하고 비용이 덜 드는 재료로 제조된 받침대가 필요하다.
가열된 지지용 받침대에 동력을 제공하기 위한 방법 및 장치가 제공된다. 일 실시예에서, 공정 키트(process kit)가 제공된다. 상기 공정 키트는 한 단부에서 기판 지지대와 다른 대향 단부에서 베이스 조립체에 연결되는 전도성 재료로 제조되는 중공형 샤프트를 포함하며, 상기 베이스 조립체는 반도체 처리 툴 상에 배열되는 파워 박스에 결합되도록 구성된다. 일 실시예에서, 상기 베이스 조립체는 플라스틱 수지와 같은 유전체 재료로 제조된 인서트 내에 배열되는 적어도 하나의 노출된 전기 커넥터를 포함한다.
일 실시예에서, 반도체 처리 챔버용 받침대가 설명된다. 상기 받침대는 전도성 재료를 포함하는 기판 지지대와, 상기 기판 지지대 내부에 캡슐화되는 가열 소자, 및 제 1 단부에 있는 상기 기판 지지대와 대향 단부에 있는 결합 인터페이스에 연결되는 전도성 재료를 포함하는 중공형 샤프트를 포함하며, 상기 결합 인터페이스는 상기 처리 챔버 상에 배열되는 파워 출구에 연결되도록 구성되며 상기 중공형 샤프트와 전기 절연되는 하나 이상의 노출 전기 커넥터를 포함하는 유전체 플러그를 포함한다.
다른 실시예에서, 반도체 처리 챔버용 받침대가 설명된다. 상기 받침대는 전도성 재료를 포함하는 기판 지지대와, 상기 기판 지지대 내부에 캡슐화되는 가열 소자, 및 제 1 단부에 있는 상기 기판 지지대와 대향 단부에 있는 베이스 조립체에 연결되는 전도성 재료를 포함하는 중공형 샤프트를 포함한다. 상기 베이스 조립체는 내부 체적을 가지며 슬롯 형성된 전도성 부분, 및 상기 내부 체적 내에 배열되는 유전체 플러그를 포함하며, 상기 유전체 플러그는 유전체 플러그로부터 길이방향으로 연장하는 하나 또는 그보다 많은 전도성 부재를 포함하며, 하나 또는 그보다 많은 상기 전도성 부재 각각은 상기 슬롯 형성된 전도성 부분과 전기 절연된다.
전술한 본 발명의 특징들이 더 잘 이해될 수 있는 방식으로, 위에서 간략히 서술한 본 발명에 대해 일부가 첨부 도면에 도시되어 있는 실시예들을 참조하여 더 상세히 설명된다. 그러나, 첨부 도면은 단지 본 발명의 전형적인 실시예들만을 도시하므로 본 발명의 범주를 한정하는 것으로 이해해서는 안 되며 본 발명의 다른 균등한 효과적인 실시예들이 있을 수 있다고 이해해야 한다.
도 1은 플라즈마 시스템의 일 실시예에 대한 부분 횡단면도이며,
도 2a는 도 1에 도시된 받침대의 일 실시예에 대한 평면도이며,
도 2b는 도 2a에 도시된 받침대의 일 실시예에 대한 저면도이며,
도 3a는 받침대의 다른 실시예의 일부분에 대한 횡단면도이며,
도 3b는 받침대의 다른 실시예에 대한 분해도이며,
도 3c는 베이스 조립체의 일 실시예에 대한 저면도이며,
도 4는 베이스 조립체의 다른 실시예에 대한 횡단면도이며,
도 5는 본 발명에서 설명된 받침대의 기판 지지면에 대한 개략적인 저면도이며,
도 6a 내지 도 6c는 본 발명에서 설명된 3 부분의 가열 프로파일로부터 취한 데이터를 그래프화한 도면이다.
이해를 쉽게 하기 위해, 도면에서 공통인 동일한 구성 요소를 지칭하는데에는 가능하다면, 동일한 도면 부호가 사용되었다. 일 실시예에서 설명된 구성 요소들은 특별한 언급 없이도 다른 실시예에 유리하게 사용될 수 있다고 이해해야 한다.
본 발명의 실시예들은 플라즈마 챔버를 기준으로 이후에 도면을 참조하여 설명된다. 일 실시예에서, 플라즈마 챔버는 플라즈마 강화 화학 기상 증착(PECVD) 시스템에 사용된다. 본 발명에 유리하게 채택될 수 있는 PECVD 시스템의 예에는 모두 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티리얼즈, 인코포레이티드로부터 상업적으로 이용가능한 프로듀서(PRODUCER(등록상표)) SE CVD 시스템, 프로듀서 GT(상표명) CVD 시스템 또는 DXZ(등록상표) CVD 시스템이 포함된다. 프로듀서 SE CVD 시스템(예를 들어, 200 mm 또는 300 mm)은 전도체 필름, 실란, 탄소-도프된 실리콘 산화물 및 다른 재료들과 같은 얇은 필름을 기판 상에 증착하는데 사용될 수 있는 두 개의 격리된 처리 영역을 가지며, 이에 대해서는 미국 특허 제 5,855,681호 및 6,495,233호에 설명되어 있으며 이 두 개의 특허는 본 발명에 참조되었다. 상기 DXZ(등록상표) CVD 챔버는 미국 특허 제 6,364,954호에 설명되어 있으며, 이 특허는 본 발명에 참고되었다. 예시적인 실시예가 두 개의 처리 영역을 포함하지만, 본 발명은 하나의 처리 영역 또는 두 개의 처리 영역보다 많은 처리 영역을 갖는 시스템에도 유리하게 사용될 수 있다고 이해해야 한다. 또한, 본 발명은 다른 챔버들 중에서도, 에칭 챔버, 이온 주입 챔버, 플라즈마 처리 챔버, 및 스트립핑(stripping) 챔버를 포함한 다른 플라즈마 챔버에서도 유리하게 사용될 수 있다고 이해해야 한다. 또한, 본 발명은 다른 제작자로부터 이용가능한 플라즈마 처리 챔버에도 유리하게 사용될 수 있다고 이해해야 한다.
도 1은 플라즈마 시스템(100)의 부분 횡단면도이다. 플라즈마 시스템(100)은 일반적으로, 한 쌍의 처리 영역(120A,120B)을 한정하는 측벽(112), 바닥 벽(116) 및 내측 벽(101)을 갖춘 처리 챔버 몸체(102)를 포함한다. 각각의 처리 영역(120A-B)은 유사하게 구성되며, 간단한 설명을 위해 단지 처리 영역(120B)에 있는 구성 요소들만 설명할 것이다.
받침대(128)는 시스템(100) 내의 바닥 벽(116)에 형성된 통로(122)를 통해 처리 영역(120B) 내에 배열된다. 받침대(128)는 받침대의 상부 면에 기판(도시 않음)을 지지하도록 구성된다. 받침대(128)는 소정의 처리 온도로 기판 온도를 가열하고 제어하기 위한 가열 소자, 예를 들어 저항 소자를 포함할 수 있다. 이와는 달리, 받침대(128)는 램프 조립체와 같은 원격 가열 소자에 의해 가열될 수 있다.
받침대(128)는 처리 영역(120B) 내에서의 받침대(128)의 승강 운동을 제어하는 구동 시스템을 포함할 수 있는 파워 출구 또는 파워 박스(103)에 스템(126)에 의해 연결된다. 스템(126)도 전력을 받침대(128)에 제공하기 위한 전력 인터페이스를 포함한다. 파워 박스(103)도 열전쌍 인터페이스와 같은, 전력 및 온도 표시를 위한 인터페이스를 포함한다. 스템(126)도 파워 박스(103)에 분리가능하게 연결되도록 구성되는 베이스 조립체(129)를 포함한다. 주변 링(135)은 파워 박스(103) 위에 도시되어 있다. 일 실시예에서, 주변 링(135)은 베이스 조립체(129)와 파워 박스(103)의 상부 표면 사이에 기계식 인터페이스를 제공하도록 구성된 기계식 스톱 또는 랜드(land)로서 채용된 숄더이다.
로드(130)는 바닥 벽(116) 내에 형성된 통로(124)를 통해 배열되며 받침대(128)를 통해 배열되는 기판 리프트 핀(161)을 기동시키는데 사용된다. 기판 리프트 핀(161)은 기판 이송 포트(160)를 통해 처리 영역(120B) 내외로 기판을 이송시키는데 사용되는 로봇(도시 않음)에 의한 기판의 교환을 촉진시키도록 기판을 받침대로부터 선택적으로 이격시킨다.
챔버 리드(104)는 챔버 몸체(102)의 상부에 연결된다. 리드(104)는 리드에 연결되는 하나 또는 그보다 많은 가스 분배 시스템(108)을 수용한다. 가스 분배 시스템(108)은 샤워헤드 조립체(142)를 통해 처리 영역(120B)으로 반응성 가스 및 에칭 가스를 분배하는 가스 입구 통로(142)를 포함한다. 샤워헤드 조립체(142)는 면판(146)에 끼이게 배열된 차단판(144)을 갖춘 환형 베이스 판(148)을 포함한다. RF 소오스(165)는 샤워헤드 조립체(142)에 연결된다. RF 소오스(165)는 샤워헤드 조립체(142)에 전력을 공급하여 샤워헤드 조립체(142)의 면판(146)과 가열식 받침대(128) 사이에 플라즈마의 생성을 촉진시킨다. 일 실시예에서, RF 소오스(165)는 13.56 ㎒ RF 생성기와 같은, 고주파 RF(HFRF) 파워 소오스일 수 있다. 다른 실시예에서, RF 소오스(165)는 HFRF 파워 소오스 및 300 ㎑ RF 생성기와 같은, 저주파 RF(LFRF) 파워 소오스를 포함할 수 있다. 이와는 달리, RF 소오스는 플라즈마 생성을 촉진시키기 위해 받침대(126)와 같은 처리 챔버 몸체(102)의 다른 부분에 연결될 수 있다. 유전체 절연체(158)는 RF 파워가 리드(104)로 유도되는 것을 방지하기 위해 리드(104)와 샤워헤드 조립체(142) 사이에 배열된다. 섀도우 링(106)은 받침대(128)의 소정의 높이에 기판을 결합하는 받침대(128)의 주변에 배열될 수 있다.
선택적으로, 냉각 채널(147)이 가스 분배 시스템(108)의 환형 베이스 판(108) 내에 형성되어 작동 중에 환형 베이스 판(148)을 냉각시킨다. 물, 에틸렌 글리콜, 가스 등과 같은 열 전달 유체가 냉각 채널(147)을 통해 순환되어 베이스 판(148)이 예정 온도로 유지된다.
챔버 라이너 조립체(127)가 챔버 몸체(102)의 측벽(101,112)에 매우 가까운 처리 영역(120B) 내에 배열되어 측벽(101,112)이 처리 영역(120B) 내의 처리 환경에 노출되는 것을 방지한다. 라이너 조립체(127)는 처리 영역(120B)으로부터의 가스와 부산물을 배기하고 처리 영역(120B) 내의 압력을 제어하도록 구성되는 펌핑 시스템(164)에 연결된 주변 펌핑 공동(125)을 포함한다. 복수의 배기 포트(131)가 챔버 라이너 조립체(127) 상에 형성될 수 있다. 배기 포트(131)는 시스템(100) 내의 처리를 촉진시키는 방식으로 처리 영역(120B)으로부터 주변 펌핑 공동(125)으로 가스의 흐름을 허용하도록 구성된다.
도 2a는 플라즈마 시스템(100)에 사용되는 받침대(128)의 일 실시예에 대한 평면도이다. 받침대(128)는 원형 기판 지지대(205) 반대 편에 스템(126) 및 베이스 조립체(129)를 포함한다. 일 실시예에서, 스템(126)은 관형 부재 또는 중공형 샤프트로서 구성된다. 일 실시예에서, 베이스 조립체(129)는 파워 출구 또는 파워 박스(103) 내에 그리고 그 상부에 배열되는 전기 접점을 갖는 분리가능한 정합 인터페이스로서 사용된다. 기판 지지대(205)는 실질적으로 평탄한 기판 수용면 또는 기판 지지면(210)을 포함한다. 기판 지지면(210)은 200 mm 기판, 300 mm 기판, 또는 450 mm 기판을 지지하도록 구성될 수 있다. 일 실시예에서, 기판 지지면(210)은 지지면(210)의 평면 위로 연장하는 범프(bump) 또는 돌기일 수 있는 복수의 구조물(215)을 포함한다. 복수의 구조물(215) 각각의 높이는 지지면(210)으로부터 조금 상승되거나 조금 이격된 실질적으로 평탄한 기판 수용 평면 또는 면을 제공하기 위해 실질적으로 동일하다. 일 실시예에서, 각각의 구조물(215)은 지지면(210)의 재료와 상이한 재료로 형성 또는 코팅된다. 기판 지지면(205)도 리프트 핀(161)(도 1)을 수용하도록 관통 형성되는 복수의 개구(220)를 포함한다.
일 실시예에서, 기판 지지대(205) 및 스템(126)의 몸체는 전도성 금속 재료로 제조되는 반면에, 베이스 조립체(129)는 전도성 금속 재료와 절연 재료의 조합물로 제조된다. 전도성 금속 재료로 기판 지지대(205)를 제작하는 것은 세라믹으로 제조된 기판 지지대에 비해서 소유 비용을 낮춘다. 또한, 전도성 금속 재료는 RF 파워로부터 매설형 히터(본 도면에 도시 않음)를 차폐하는 역할을 한다. 이는 기판 지지대(205)의 효율과 수명을 증가시킴으로써 소유 비용을 감소시킨다.
일 실시예에서, 기판 지지대(205) 및 스템(126)의 몸체는 알루미늄 합금과 같은 알루미늄 재료만으로 제조된다. 특정 실시예에서, 기판 지지대(205) 및 스템의 몸체는 모두 6061 Al로 제조된다. 일 실시예에서, 베이스 조립체(129)는 기판 지지대(205) 및 스템(126)의 전도성 부분으로부터 베이스 조립체(129)의 부분을 전기적으로 절연시키기 위해 내부에 배열되는 폴리에테르에테르케톤(PEEK)과 같은 절연 부분 및 알루미늄 부분을 포함한다. 일 실시예에서, 기판 지지대(205)의 몸체는 알루미늄 재료로 제조되는 반면에, 지지면(210) 상에 배열된 각각의 구조물(215)은 알루미늄 산화물과 같은 세라믹 재료로 제조 또는 코팅된다.
도 2b는 받침대(128)의 일 실시예에 대한 저면도이다. 스템(126)은 기판 지지대(205)에 연결되는 제 1 단부 및 기판 지지대(205) 반대편의 제 2 단부에 있는 베이스 조립체(129)를 포함한다. 본 실시예에서, 베이스 조립체(129)는 유전체 플러그(230)를 포함 및/또는 연결되는 슬롯을 갖춘 전도성 부분(225)을 포함한다. 일 실시예에서, 상기 전도성 부분(225)은 파워 박스(103)(도 1)와 결합되도록 구성되는 플러그 또는 수형(male) 인터페이스로서 구성될 수 있다. 본 실시예에서, 상기 전도성 부분(225)은 외측 면 또는 벽을 통해 적어도 부분적으로 형성된 슬롯을 갖춘 횡단면이 원형일 수 있다. 유전체 플러그(230)는 소켓 또는 수형 인터페이스로서 구성될 수 있거나, 선택적으로 파워 박스(103) 내의 전기 접점을 수용 또는 전기 접점과 결합하도록 구성되는 소켓 또는 수형 인터페이스로서 구성되는 부분 또는 부분들을 포함할 수 있다. 본 실시예에서, 상기 전도성 부분(225)은 스템(126)의 일체형 연장부일 수 있으며 알루미늄 재료로 제조되는 반면에, 유전체 플러그(230)는 PEEK 수지로 제조된다.
베이스 조립체(129)도 도 1의 파워 박스(103)와 인터페이스 접속되는 O형 링(240)을 수용하도록 구성되는 주변 링(135)을 포함한다. 본 실시예에서, 슬롯을 갖춘 전도성 부분(225)은 유전체 플러그(230)를 수용하도록 구성되는 개구를 포함하며, 유전체 플러그(230)는 상기 전도성 부분(225)에 체결된다. 유전체 플러그(230)도 파워 박스(103)로부터의 전기 도입선을 수용하도록 내부에 형성된 개구 또는 소켓을 포함한다.
도 3a는 도 1에 도시한 바와 같은 파워 출구 또는 파워 박스(103)에 연결되는 스템(126)을 갖춘 받침대에 대한 일 실시예의 일부의 횡단면도이다. 기판 지지대(205)는 전도성 몸체(300) 내에 배열 또는 캡슐화된 저항 히터(305)와 같은 매설형 가열 소자를 포함한다. 일 실시예에서, 상기 몸체(300)는 알루미늄과 같은 전도성 금속으로 구성되는 재료로 제조된다. 저항식 히터(305)는 스템(126) 내에 배열되는 전도성 도입선(315)에 의해 파워 박스(103) 내에 배열되는 파워 소오스(310)에 연결된다. 스템(126)도 열전쌍(도시 않음)을 수용하도록 구성되는 길이방향 채널 또는 구멍(350)을 포함한다. 본 실시예에서, 유전체 플러그(230)는 전도성 도입선(315)을 파워 박스(103) 내에 배열된 각각의 소켓(326)에 연결하기 위해 내부에 배열되는 하나 또는 그보다 많은 전도성 플러그(320)를 포함한다. 일 실시예에서, 전도성 플러그(320)는 다중 접점 플러그이다. 전도성 도입선(315) 및 은 전도성 플러그(320)는 작동 중에 전기적으로 바이어스될 수 있으나, 유전체 플러그(230)의 주변 벽(325)에 의해 상기 전도성 부분(225), 스텝(126) 및 기판 지지대(205)와는 전기 절연된다.
일 실시예에서, 상기 스템(126) 및 기판 지지대(205)는 알루미늄으로 제조되며 전기 접지된다. 알루미늄 재료는 가열 소자를 캡슐화하며 저항식 히터(305)에 대한 유효한 RF 차폐물의 역할을 한다. 알루미늄 재료에 의한 RF 차폐는 세라믹과 같은 상이한 재료로 제조된 가열된 받침대에 필요할 수 있는, 저항식 히터(305)에 대한 RF 커플링을 필터링 제거하기 위한 대역 통과 필터에 대한 필요성을 제거한다. 저항식 히터(305)용 파워 터미널로서 전도성 플러그(320)를 사용하는 전기 인터페이스에 대한 설계에 의해 파워 박스(103)로부터의 표준 게이지 와이어 및 커넥터가 통상적으로 설계된 전기 커넥터와는 대조적으로 사용될 수 있게 한다. 전도성 플러그(320)는 PEEK 수지를 포함한 독특한 기본 설계에 의해 장착된다. 전도성 플러그(320)는 베이스 조립체(129)의 전도성 부분(225) 상에 체결된 유전체 플러그(320)에 의해 기계적으로 지지되는 파워 터미널 조립체를 포함한다. PEEK 수지는 접지된 히터 몸체(기판 지지대(205) 및 스템(126))에 대해 라이브 파워 터미널(전도성 플러그(320))을 전기 절연시킨다. 따라서, 받침대(128)는 대역 통과 필터의 제거에 의해 비용을 최소화하며 저렴한 알루미늄 재료를 사용함으로써, 소유 비용을 상당히 감소시킨다. 또한, 본 발명에서 설명한 바와 같이 받침대(128)는 대량 재설계 및/또는 긴 작동 중단 없이 기존 챔버 내의 본래 받침대를 대체하도록 개조될 수 있다.
도 3a는 받침대(128)의 다른 실시예에 대한 분해도이다. 도시된 바와 같이, 세라믹 재료로 제조될 수 있는 복수의 슬리브 또는 인서트(360)는 기판 지지대(205) 내에 배열된 개구(220)(도 2a 및 도 2b)에 의해 수용될 수 있다. 인서트(360)는 리프트 핀(161)(도 1)을 수용하도록 구성된다. 베이스 조립체(129)는 전도성 부분(225) 및 유전체 플러그(230)를 포함한다. 전도성 부분(225)은 유전체 플러그(230)의 하부에 배열되는 연장 부재 또는 이어(ear: 362)를 수용하도록 구성되는 방사상 슬롯을 포함한다. 전도성 부분(225) 및 유전체 플러그(230)는 볼트 또는 스크류와 같은 패스너(365)에 의해 서로 연결된다. 일 실시예에서, 패스너(365)는 전도성 부분(225)에 연결 또는 전도성 부분 내에 배열되는 각각의 치형 인서트(370)와 연결된다. 일 실시예에서, 치형 인서트(370)는 HELICOIL(등록 상표) 인서트를 포함한다.
전도성 플러그(단지 하나만이 도시됨)는 유전체 플러그(230)의 캡 섹션 내에 전도성 플러그(320)를 유지하도록 구성되는 스톱 또는 커플링 섹션으로서 구성된 숄더 섹션(363)을 갖춘 샤프트를 포함한다. 전도성 플러그(320)도 암형 나사부를 갖춘 전도성 인서트(375) 내측으로 나사결합되도록 구성된 치형 단부(364)를 포함할 수 있다. 일 실시예에서, 전도성 플러그(320)는 황동 재료로 제조되고 은(Ag)으로 도금되며, 전도성 인서트(375)는 황동 재료로 제조된다. 전도성 인서트(375)는 PEEK 수지와 같은 유전체 재료로 제조될 수 있는 절연 재킷(380) 내측에 삽입될 수 있다. 열전쌍(도시 않음)을 안내 및 장착하기 위한 가이드 부재(385)는 가이드 부재로부터 연장하도록 재킷(380)에 연결되거나 재킷에 인접되게 배열될 수 있다. 가이드 부재(385)는 알루미늄 재료로 제조될 수 있다.
도 3c는 베이스 조립체(129)의 저면도이다. 유전체 플러그(230)는 전도성 부분(225) 내에 꼭 맞게 끼워 맞춰지도록 구성되는 실질적으로 원형 몸체를 포함한다. 일 실시예에서, 각각의 이어(362)는 상기 몸체로부터 외측 반경 방향으로 연장하며 실질적으로 균등하게 이격되어 있다. 일 실시예에서, 각각의 이어(362)는 120도 간격과 같은 균등한 각도 증분으로 위치된다. 유전체 플러그(230)의 몸체도 개구(390,392)와 같은 복수의 오목부 또는 개구를 포함한다. 일 실시예에서, 개구(390)는 파워 박스(103)(도시 않음) 상에 배열되는 수형 플러그를 수용하는데 사용되는 사다리꼴 형상을 갖춘 암형 인터페이스이다. 하나 또는 그보다 많은 전도성 플러그(320)가 개구(390) 내에 수용된다. 개구(392)는 열전쌍(도시 않음) 및/또는 열전쌍과 연결되는 신호 라인의 일부분을 수용하도록 암형 인터페이스로서 구성될 수 있다. 전도성 부분의 바닥면도 핀 또는 장착 인터페이스를 색인하도록 구성될 수 있는 하나 또는 그보다 많은 오목부 또는 개구(394)를 포함한다. 일 실시예에서, 적어도 하나의 개구(394)는 전도성 재료로 제조된 핀과 같은 접지 장치를 수용하도록 구성된다.
도 4는 베이스 조립체(129)의 일 실시예에 대한 횡단면도이다. 주변 링(135)은 O형 링과 같은 시일(410)을 수용하도록 내부에 형성되는 홈을 포함한다. 시일(410)은 전도성 부분(225)의 접지를 촉진시키도록 전도성 재료 또는 절연 재료로 제조될 수 있다. 일 실시예에서, 전도성 플러그(320)는 각각의 전도성 인서트(375)에 연결된 것으로 도시되어 있다. 일 실시예에서, 각각의 전도성 인서트(375)는 베이스 조립체(129)의 다른 전도성 부분들과 절연 재킷(380)에 의해 서로 전기 절연된다. 각각의 절연 재킷(380)은 PEEK 수지와 같은 절연 재료로 제조될 수 있다. 일 실시예에서, 전도성 도입선(315)의 적어도 일부분은 전도성 플러그(320)와 전기 접속되게 전도성 도입선(315)을 밀기 위한 전도성 인서트(375) 및 재킷(380) 모두의 내측으로 적어도 부분적으로 연장한다. 하나의 일면에서, 전도성 플러그(320)는 전도성 도입선(315)과 접촉되지 않는다.
도 5는 본 발명에서 설명한 바와 같은 받침대(128)의 기판 지지대(205)의 개략적인 평면도이다. 기판 지지대(205)는 300 mm 기판 적용에 사용하기 위한 예시적인 크기이다. 본 발명 및 예들을 설명하는데 도움을 주기 위해, 기판 지지대(205)의 지지면(210)은 7 개의 별도의 동심원으로 도식적으로 분할된다. 각각의 동심원의 내측 반경은 방위각(azimuth)으로 지칭된다. 방위각은 반경 23 mm, 46 mm, 69 mm, 92 mm, 115 mm, 및 137 mm에 놓인다. 도 5는 스포크(spoke)들로 더욱 도식적으로 분할된다. 스포크는 원의 중심으로부터 외측으로 방사상으로 퍼진다. 스포크는 30도마다 발생하여 총 12 개를 형성한다. 중심을 포함하면, 지지면(210) 상에 73 개의 교차점이 있다(12 개의 스포크는 중심 반경을 포함한 6 개의 방위각과 교차한다).
도 6a는 각각의 방위각 주위에서의 평균 온도 프로파일을 도시적으로 도시한다(R0 = 지지면(210)의 중심, R6 = 최외측 방위각). 방위각 주위의 온도 측정은 스포크 교차점에서 수행된다. 본 실시예에서, 받침대(128)는 7 mm의 두께를 갖는 300 mm 실리콘 카바이드 웨이퍼를 지지하는데 사용되었다. 히터 온도는 400 ℃로 설정되었으며, 압력은 4 Torr로 설정되었다. 아르곤이 2 SLM의 속도로 챔버를 통해 유동되었다. 표준 베이스 온도는 75±1 ℃로 유지되었다. 각각의 방위각에서 받침대의 평균 온도는 389 ℃ 내지 392 ℃ 범위였다.
도 6b는 각각 6 개의 방위각 주위에서 온도 범위를 도시적으로 나타낸다. 도 6b의 데이터는 3 개의 별도의 런(run)(런 A, B 및 C) 중에 전술한 예와 동일한 공정 변수 하에서 수집되었다. 상기 범위는 각각의 방위각 주위의 12 지점으로 구성되며(30°, 60°, 90°, ..., 330°), 여기서 방위각은 스포크와 교차한다. 방위각(R1-R6)에 대한 각각의 온도 범위는 통상적으로 7 ℃ 미만이었다. 예를 들어, 일 예에서 온도 범위는 제 2 방위각에서 약 5 ℃였다. 예를 제시할 목적으로, 온도 범위는 임의 데이터 세트에 대한 최대치와 최소치 사이의 차이로서 정의된다.
도 6c는 각각 12 개의 스포크에 따른 온도 범위를 도시적으로 나타낸다. 도 6c의 데이터는 전술한 예와 동일한 공정 변수 하에서 수집되었다. 3 개의 별도의 런(런 A, B 및 C)에 대해, 방위각 교차점에서 각각의 스포크의 길이에 따른 온도 범위가 수집되었다. 3 개의 런에 대한 각각의 스포크를 따른 온도 범위는 약 3 ℃ 내지 약 8 ℃ 범위였다. 예를 들어, 하나의 런에서 60°스포크에서의 온도는 약 5 ℃였다.
일 실시예에서, 기판 상에 얇은 필름을 증착하는 방법이 이중 처리 영역(120A,120B)을 사용하여 설명된다. 상기 방법은 내부에 배열된 각각의 받침대(128) 상에 처리 챔버의 각각의 처리 영역 내에 있는 적어도 하나의 기판을 제공하는 단계를 포함한다. 받침대(128)는 기판 지지대 내부에 캡슐화되는 전도성 재료의 저항식 히터(305)를 포함하는 기판 지지대(205), 및 제 1 단부에서 기판 지지대에 연결되는 전도성 재료를 포함하는 스템(126)을 포함한다. 기판 지지대도 대향 단부에 결합 인터페이스로서 구성되는 베이스 조립체(129)를 포함한다. 결합 인터페이스는 처리 챔버 상에 배열되는 파워 출구에 연결되도록 구성되며 중공형 샤프트와 전기 절연되는 적어도 하나의 노출 전기 커넥터를 포함하는 유전체 플러그(320)를 포함한다. 상기 방법은 또한, 처리 영역(120A,120B) 중 적어도 하나에 하나 또는 그보다 많은 반응성 가스를 유동시키는 단계 및 샤워헤드 조립체(142)와 기판 지지대(205) 사이에 RF 에너지를 사용하여 플라즈마를 생성하는 단계를 포함한다. 일 실시예에서, 반응성 가스는 수소와 같은 캐리어 가스 내에 유동될 수 있다.
전술한 설명이 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 추가의 실시예들이 본 발명의 기본 범주로부터 이탈함이 없이 창안될 수 있으며 본 발명의 범주는 다음의 특허청구범위에 의해 결정된다.

Claims (15)

  1. 반도체 처리 챔버용 받침대로서,
    전도성 재료를 포함하는 기판 지지대와,
    상기 기판 지지대 내부에 캡슐화되는 가열 소자, 및
    제 1 단부에서 상기 기판 지지대와 대향 단부에서 결합 인터페이스에 연결되는 전도성 재료를 포함하는 중공형 샤프트를 포함하며,
    상기 결합 인터페이스는 상기 처리 챔버 상에 배열되는 파워 출구에 연결되도록 구성되며 상기 중공형 샤프트와 전기 절연되는 하나 이상의 노출 전기 커넥터를 포함하는 유전체 플러그를 포함하는,
    반도체 처리 챔버용 받침대.
  2. 제 1 항에 있어서,
    상기 결합 인터페이스는 적어도 부분적으로 출구 표면을 통해 형성되는 복수의 슬롯을 더 포함하는,
    반도체 처리 챔버용 받침대.
  3. 제 2 항에 있어서,
    상기 유전체 플러그는 각각의 상기 슬롯과 결합하는 복수의 연장된 부재를 포함하는,
    반도체 처리 챔버용 받침대.
  4. 제 3 항에 있어서,
    상기 유전체 플러그는 원형 횡단면을 포함하며 상기 복수의 연장된 부재들 각각은 그로부터 반경 방향으로 연장하는,
    반도체 처리 챔버용 받침대.
  5. 제 4 항에 있어서,
    상기 복수의 연장된 부재들은 균등하게 이격되어 있는,
    반도체 처리 챔버용 받침대.
  6. 제 1 항에 있어서,
    상기 결합 인터페이스는 외측 표면 상에 배열되는 주변 링을 더 포함하는,
    반도체 처리 챔버용 받침대.
  7. 제 6 항에 있어서,
    상기 주변 링은 상기 처리 챔버의 밀봉을 촉진시키도록 구성되는 O형 링을 포함하는,
    반도체 처리 챔버용 받침대.
  8. 제 1 항에 있어서,
    상기 기판 지지대는 지지면 상에 배열되는 복수의 돌기를 포함하는 기판 수용면을 포함하며, 상기 복수의 돌기 각각은 세라믹 재료로 제조 또는 코팅되는,
    반도체 처리 챔버용 받침대.
  9. 제 1 항에 있어서,
    상기 하나 이상의 노출 전기 커넥터는 상기 중공형 샤프트 내에 배열되는 전도성 도입선에 의해 전기 접속되는,
    반도체 처리 챔버용 받침대.
  10. 반도체 처리 챔버용 받침대로서,
    전도성 재료를 포함하는 기판 지지대와,
    상기 기판 지지대 내부에 캡슐화되는 가열 소자, 및
    제 1 단부에서 상기 기판 지지대와 대향 단부에서 베이스 조립체에 연결되는 전도성 재료를 포함하는 중공형 샤프트를 포함하며,
    상기 베이스 조립체는 내부 체적을 가지는 슬롯 형성된 전도성 부분, 및 상기 내부 체적 내에 배열되는 유전체 플러그를 포함하며,
    상기 유전체 플러그는 유전체 플러그를 통해 길이방향으로 연장하는 하나 또는 그보다 많은 전도성 부재를 포함하며, 하나 또는 그보다 많은 상기 전도성 부재 각각은 상기 슬롯 형성된 전도성 부분과 전기 절연되는,
    반도체 처리 챔버용 받침대.
  11. 제 10 항에 있어서,
    하나 또는 그보다 많은 상기 전도성 부재 각각의 적어도 일부분은 상기 베이스 조립체로부터 연장하는,
    반도체 처리 챔버용 받침대.
  12. 제 10 항에 있어서,
    상기 슬롯 형성된 전도성 부분은 상기 중공형 샤프트의 연장부인,
    반도체 처리 챔버용 받침대.
  13. 제 10 항에 있어서,
    상기 유전체 플러그는 상기 슬롯 형성된 전도성 부분 내의 각각의 슬롯과 결합하는 복수의 연장 부재를 포함하는,
    반도체 처리 챔버용 받침대.
  14. 제 13 항에 있어서,
    상기 유전체 플러그는 원형 횡단면을 포함하며 상기 복수의 연장 부재 각각은 그로부터 반경 방향으로 연장하는,
    반도체 처리 챔버용 받침대.
  15. 제 14 항에 있어서,
    상기 복수의 연장 부재는 균등하게 이격되는,
    반도체 처리 챔버용 받침대.
KR1020117001770A 2008-06-24 2009-06-23 저온 pecvd 애플리케이션을 위한 받침대 히터 KR101560138B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7526208P 2008-06-24 2008-06-24
US61/075,262 2008-06-24

Publications (2)

Publication Number Publication Date
KR20110033925A true KR20110033925A (ko) 2011-04-01
KR101560138B1 KR101560138B1 (ko) 2015-10-14

Family

ID=41429941

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117001770A KR101560138B1 (ko) 2008-06-24 2009-06-23 저온 pecvd 애플리케이션을 위한 받침대 히터

Country Status (6)

Country Link
US (1) US20090314208A1 (ko)
JP (1) JP2011525719A (ko)
KR (1) KR101560138B1 (ko)
CN (1) CN102077338A (ko)
TW (1) TWI444501B (ko)
WO (1) WO2010008827A2 (ko)

Families Citing this family (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8884524B2 (en) 2011-11-22 2014-11-11 Applied Materials, Inc. Apparatus and methods for improving reliability of RF grounding
US9706605B2 (en) * 2012-03-30 2017-07-11 Applied Materials, Inc. Substrate support with feedthrough structure
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
CN103871928B (zh) * 2012-12-14 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 半导体设备及其加热器
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
KR102014280B1 (ko) * 2014-06-16 2019-08-26 주식회사 원익아이피에스 커넥터 조립체, 기판지지대 및 그를 가지는 기판처리장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9960009B2 (en) 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10345802B2 (en) 2016-02-17 2019-07-09 Lam Research Corporation Common terminal heater for ceramic pedestals used in semiconductor fabrication
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102137719B1 (ko) * 2016-03-25 2020-07-24 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달이 향상된 세라믹 가열기
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
DE102016110884A1 (de) * 2016-06-14 2017-12-14 Aixtron Se Vorrichtung und Verfahren zum Abscheiden organischer Schichten auf ein oder mehreren Substraten
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI671851B (zh) 2016-09-22 2019-09-11 美商應用材料股份有限公司 用於寬範圍溫度控制的加熱器基座組件
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6615134B2 (ja) * 2017-01-30 2019-12-04 日本碍子株式会社 ウエハ支持台
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11978646B2 (en) * 2017-05-18 2024-05-07 Applied Materials, Inc. Thermal chamber with improved thermal uniformity
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102481410B1 (ko) * 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11469084B2 (en) 2017-09-05 2022-10-11 Lam Research Corporation High temperature RF connection with integral thermal choke
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
KR102655866B1 (ko) * 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202013581A (zh) * 2018-05-23 2020-04-01 日商東京威力科創股份有限公司 電漿處理裝置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11499229B2 (en) 2018-12-04 2022-11-15 Applied Materials, Inc. Substrate supports including metal-ceramic interfaces
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
JP2020167288A (ja) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR102155664B1 (ko) * 2019-09-25 2020-09-15 주식회사 넵시스 박막증착장치
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240035160A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Susceptor support assembly for chemical vapor deposition chambers

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5234527A (en) * 1990-07-20 1993-08-10 Tokyo Electron Limited Liquid level detecting device and a processing apparatus
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JPH0654251U (ja) * 1992-12-29 1994-07-22 株式会社茂治 多極コネクタにおけるコードの抜け止め装置
EP0746874A1 (en) * 1994-02-23 1996-12-11 Applied Materials, Inc. Chemical vapor deposition chamber
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6206971B1 (en) * 1999-03-29 2001-03-27 Applied Materials, Inc. Integrated temperature controlled exhaust and cold trap assembly
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6495233B1 (en) * 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6652655B1 (en) * 2000-07-07 2003-11-25 Applied Materials, Inc. Method to isolate multi zone heater from atmosphere
JP3962661B2 (ja) * 2002-08-30 2007-08-22 三菱重工業株式会社 静電チャック支持機構及び支持台装置及びプラズマ処理装置
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
JP4098112B2 (ja) * 2003-02-14 2008-06-11 日本発条株式会社 ヒータユニット
CN100363152C (zh) * 2004-03-23 2008-01-23 力晶半导体股份有限公司 化学机械研磨制作工艺的假制作工艺与研磨垫调节方法
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
JP2007067394A (ja) * 2005-08-05 2007-03-15 Tokyo Electron Ltd 基板処理装置およびそれに用いる基板載置台
KR100804169B1 (ko) * 2005-12-31 2008-02-18 주식회사 아이피에스 박막증착챔버용 서셉터
JP2007258115A (ja) * 2006-03-24 2007-10-04 Ngk Insulators Ltd 加熱装置
JP2008085129A (ja) * 2006-09-28 2008-04-10 Taiheiyo Cement Corp 基板載置装置
KR100836183B1 (ko) * 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조

Also Published As

Publication number Publication date
TW201016882A (en) 2010-05-01
WO2010008827A2 (en) 2010-01-21
CN102077338A (zh) 2011-05-25
JP2011525719A (ja) 2011-09-22
US20090314208A1 (en) 2009-12-24
WO2010008827A3 (en) 2010-04-15
TWI444501B (zh) 2014-07-11
KR101560138B1 (ko) 2015-10-14

Similar Documents

Publication Publication Date Title
KR101560138B1 (ko) 저온 pecvd 애플리케이션을 위한 받침대 히터
US10971389B2 (en) Multi-zone pedestal for plasma processing
US8274017B2 (en) Multifunctional heater/chiller pedestal for wide range wafer temperature control
US10276353B2 (en) Dual-channel showerhead for formation of film stacks
KR101464292B1 (ko) 가열된 챔버 라이너를 갖는 처리 챔버
US10811301B2 (en) Dual-zone heater for plasma processing
US10910238B2 (en) Heater pedestal assembly for wide range temperature control
JP2011527107A (ja) 半導体装置のrf電送システム
CN112136202B (zh) 用于在等离子体增强化学气相沉积腔室中抑制寄生等离子体的设备
CN107460451B (zh) 自居中底座加热器
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181001

Year of fee payment: 4