KR20150004769A - 다중―플레넘 이중―온도 샤워헤드 - Google Patents

다중―플레넘 이중―온도 샤워헤드 Download PDF

Info

Publication number
KR20150004769A
KR20150004769A KR20140083266A KR20140083266A KR20150004769A KR 20150004769 A KR20150004769 A KR 20150004769A KR 20140083266 A KR20140083266 A KR 20140083266A KR 20140083266 A KR20140083266 A KR 20140083266A KR 20150004769 A KR20150004769 A KR 20150004769A
Authority
KR
South Korea
Prior art keywords
plenum
facing plate
divider
showerhead
holes
Prior art date
Application number
KR20140083266A
Other languages
English (en)
Other versions
KR102126091B1 (ko
Inventor
라메쉬 찬드라세카란
제니퍼 엘. 페트라글리아
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20150004769A publication Critical patent/KR20150004769A/ko
Application granted granted Critical
Publication of KR102126091B1 publication Critical patent/KR102126091B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

반도체 프로세싱 장비에서 사용되는 이중-온도 다중-플레넘 샤워헤드가 기술된다. 샤워헤드는 가스들을 샤워헤드 내에서 대체적으로 분리되게 유지하면서 다수의 개별 가스들이 웨이퍼 반응 구역으로 공급되게 한다. 또한, 샤워헤드는 샤워헤드의 대면플레이트가 샤워헤드의 나머지 부분보다 매우 높은 온도로 유지될 수 있게 구성될 수 있다.

Description

다중―플레넘 이중―온도 샤워헤드{MULTI-PLENUM, DUAL-TEMPERATURE SHOWERHEAD}
반도체 프로세싱 장비에서, 반도체 웨이퍼에 걸쳐서 프로세스 가스들을 목표된 방식으로, 예를 들어서 균일하게 분배되는 방식으로 분배하는데 샤워헤드가 통상 사용된다. 이러한 샤워헤드는 통상 샤워헤드의 외측으로 이어지는 복수의 가스 분배 홀들 (holes) 을 갖는 대면플레이트 (faceplate) 에 의해서 구획된 플레넘 (plenum) 을 포함한다. 대면플레이트는 통상 반도체 프로세싱 또는 반응 챔버 내의 웨이퍼 반응 구역과 마주보며, 웨이퍼들은 통상적으로 프로세싱 챔버 내의 대면플레이트 아래에서 그리고 예를 들어서 대면플레이트 아래의 위치에서 웨이퍼를 지지하는 웨이퍼 지지부 또는 페데스탈 상에 배치된다.
본 명세서에서 기술되는 논의 대상의 하나 이상의 구현예들의 세부사항들이 이하에서 첨부 도면 및 상세한 설명 부분에서 제시된다. 다른 특징들, 양태들 및 이점들은 이 설명 부분, 첨부 도면 및 청구항들에서 명확해질 것이다. 다음의 도면들의 상대적 수치들은 도면들이 스케일링된 도면들이라고 특정하게 언급되지 않은 이상 스케일대로 도시되지 않을 수 있다.
몇몇 구현예들에서, 반도체 프로세싱 장비에서 사용되는 샤워헤드가 제공된다. 이 샤워헤드는 제 1 플레넘 볼륨 (plenum volume); 제 2 플레넘 볼륨; 대면플레이트; 및 플레넘 분할기 (plenum divider) 를 포함한다. 상기 플레넘 분할기는 제 1 측 및 상기 플레넘 분할기의 상기 제 1 측의 반대편의 제 2 측을 가지며, 상기 대면플레이트는 제 1 측 및 상기 대면 플레이트의 상기 제 1 측의 반대편의 제 2 측을 갖는다. 상기 대면플레이트의 상기 제 1 측은 상기 플레넘 분할기의 상기 제 2 측과 마주보며 제 1 갭을 형성하도록 제 1 거리만큼 상기 플레넘 분할기의 상기 제 2 측으로부터 오프셋 (offset) 된다. 상기 플레넘 분할기의 상기 제 1 측은 상기 제 1 플레넘 볼륨을 부분적으로 경계짓고, 상기 제 2 플레넘 볼륨은 상기 제 1 갭 내에서 상기 플레넘 분할기와 상기 대면플레이트 간에서 위치한다. 상기 플레넘 분할기는 상기 플레넘 분할기의 상기 제 2 측으로부터 제 2 거리만큼 돌출된 복수의 관형 구조체들 (tubular structures) 를 포함하며, 각 관형 구조체는 외측 표면 및 상기 관형 구조체와 상기 플레넘 분할기를 통과하는 제 1 관통-홀 (through-hole) 을 갖는다. 상기 대면 플레이트는 복수의 제 2 관통-홀들을 가지며, 상기 제 2 관통-홀 각각은 상기 관형 구조체들 중 상이한 관형 구조체에 대응하며 상기 대응하는 관형 구조체의 상기 외측 표면으로부터 적어도 제 3 거리만큼 오프셋된 내측 표면을 갖는다. 상기 제 1 거리는 상기 제 2 거리보다 작으며, 상기 대면플레이트는 상기 플레넘 분할기와 실질적으로 열전도적으로 격리된다 (conductively thermally isolated).
몇몇 이러한 구현예들에서, 상기 대면플레이트 및 상기 플레넘 분할기는 상기 대면플레이트와 상기 플레넘 분할기 간의 0.05 W/(in2K) 이하의 열전도도를 갖도록 구성될 수 있다.
샤워헤드의 몇몇 구현예들에서, 샤워헤드는 백플레이트 (backplate) 를 더 포함한다. 몇몇 이러한 구현예들에서, 상기 제 1 플레넘 볼륨은 상기 백플레이트에 의해서 부분적으로 경계가 정해지며, 상기 백플레이트는 내측 냉각 통로들을 포함하며 능동적으로 냉각되도록 구성된다.
몇몇 구현예들에서, 상기 플레넘 분할기는 냉각제를 상기 플레넘 분할기 내에서 순환시키도록 구성된 하나 이상의 내측 냉각 통로들을 포함할 수 있다.
몇몇 구현예들에서, 샤워헤드는 무선 주파수 생성기와 접속되고 제 1 가스 유입구와 유체적으로 연결된 플라즈마 돔을 더 포함할 수 있다. 상기 제 1 플레넘 볼륨은 상기 플라즈마 돔에 의해서 부분적으로 경계가 정해지며, 상기 플라즈마 돔 및 상기 무선 주파수 생성기는 상기 제 1 가스 유입구로부터의 가스를 사용하여서 상기 제 1 플레넘 볼륨 내에 플라즈마를 생성하도록 구성될 수 있다.
몇몇 구현예들에서, 상기 대면플레이트는 상기 복수의 제 2 관통-홀들과 더불어서 복수의 추가 제 2 관통-홀들을 가지며, 상기 추가 제 2 관통-홀들은 대응하는 관형 구조체들을 가지지 않는다.
몇몇 구현예들에서, 상기 관형 구조체들은 실질적으로 원통형이며, 상기 제 1 관통-홀들 및 상기 제 2 관통-홀들은 실질적으로 둥근형이며 (round), 상기 제 2 관통-홀들 각각의 상기 내측 표면과 각 대응하는 관형 구조체의 상기 외측 표면 간의 오프셋은 상기 제 2 관통-홀과 상기 대응하는 관형 구조체 간의 환상 갭 영역 (annular gap region) 을 생성한다.
샤워헤드의 몇몇 구현예들에서, 상기 제 3 거리는 약 0.1 인치보다 작다. 샤워헤드의 다른 구현예들에서, 상기 제 3 거리는 약 0.005 인치 내지 0.020 인치일 수 있다.
샤워헤드의 몇몇 구현예들에서, 각 관형 구조체는 상기 대응하는 제 2 관통-홀에 근접한 상기 대면플레이트의 상기 제 2 측과 실질적으로 동일한 위치에서 종단될 수 있다.
샤워헤드의 몇몇 구현예들에서, 샤워헤드는 제 1 가스 유입구; 및 제 2 가스 유입구를 더 포함할 수 있다. 상기 제 1 가스 유입구는 상기 제 1 플레넘 볼륨으로 가스를 공급하도록 구성되며, 상기 제 2 가스 유입구는 상기 제 2 플레넘 볼륨으로 가스를 공급하도록 구성될 수 있다. 상기 제 2 가스 유입구는 실질적으로 관형이며 상기 플레넘 분할기를 통과하며 상기 대면플레이트의 상기 제 1 측과 상기 플레넘 분할기의 상기 제 2 측 간에 걸쳐 있을 수 있다. 상기 제 2 가스 유입구는 방사상 가스 분배 홀들의 원형 어레이를 가지며, 각 방사상 가스 분배 홀은 상기 제 2 가스 유입구를 상기 제 2 플레넘 볼륨과 유체적으로 연결한다.
몇몇 구현예들에서, 샤워헤드는 하나 이상의 저-접촉-면적 (low-contact-area:LCA) 특징부들 (features) ; 및 내측 둘레를 갖는 제 1 저 열전도도 베리어 (low-thermal-conductance barrier) 를 더 포함할 수 있다. 상기 하나 이상의 LCA 특징부들이 상기 플레넘 분할기에 대해서 상기 대면플레이트를 지지하며, 상기 제 1 저 열전도도 베리어는 상기 제 1 갭에 걸쳐 있도록 상기 대면플레이트와 상기 플레넘 분할기 간에 개재된다. 상기 제 1 저 열전도도 베리어는 상기 제 2 관통-홀들 및 제 3 관통-홀들이 상기 제 1 저 열전도도 베리어의 상기 내측 둘레 내에서 존재하도록 위치할 수 있다.
샤워헤드의 몇몇 구현예들에서, 상기 대면플레이트로부터 상기 샤워헤드의 다른 컴포넌트들로의 열전도 경로들 중 실질적으로 모두가 상기 하나 이상의 LCA 특징부들 및 상기 제 1 저 열전도도 베리어에 의해서 제공될 수 있다.
샤워헤드의 몇몇 구현예들에서, 상기 하나 이상의 LCA 특징부들은 상기 제 1 저 열전도도 베리어 근처에 이격된 복수의 포스트들 (posts) 에 의해서 제공되며, 상기 포스트들은 상기 대면플레이트를 인장 상태에서 (in tension) 지지하도록 구성될 수 있다.
샤워헤드의 몇몇 구현예들에서, 샤워헤드는 적어도 제 4 거리만큼 상기 대면플레이트로부터 오프셋된 내측 칼라 표면 및 상기 대면플레이트보다 작은 내측 개구를 갖는 칼라 (collar) 를 더 포함할 수 있다. 상기 하나 이상의 LCA 특징부들은 압축 상태에서 상기 대면플레이트의 상기 제 2 측을 지지하는 복수의 LCA 볼들에 의해서 제공될 수 있다. 상기 LCA 볼들은 상기 내측 칼라 표면과 상기 대면플레이트 간에 위치할 수 있다.
샤워헤드의 몇몇 구현예들에서, 샤워헤드는 내측 둘레를 갖는 제 2 저 열전도도 베리어 (barrier) 를 더 포함할 수 있다. 상기 제 2 저 열전도도 베리어는 상기 제 1 갭에 걸쳐 있도록 상기 대면플레이트와 상기 플레넘 분할기 간에 개재되며, 상기 제 1 저 열전도도 베리어는 상기 제 2 저 열전도도 베리어의 상기 내측 둘레 내에 위치할 수 있다.
샤워헤드의 몇몇 구현예들에서, 상기 대면플레이트로부터 상기 샤워헤드의 다른 컴포넌트들로의 열전도 경로들 중 실질적으로 모두가 상기 하나 이상의 LCA 특징부들, 상기 제 1 저 열전도도 베리어 및 상기 제 2 저 열전도도 베리어에 의해서 제공될 수 있다.
샤워헤드의 몇몇 구현예들에서, 제 3 플레넘 볼륨이 상기 대면플레이트의 상기 제 1 측, 상기 플레넘 분할기의 상기 제 2 측, 상기 제 1 저 열전도도 베리어 및 상기 제 2 저 열전도도 베리어에 의해서 적어도 부분적으로 구획될 수 있다. 상기 대면플레이트는 복수의 내측 유동 통로들; 및 상기 대면플레이트의 상기 제 1 측에서의 복수의 제 3 홀들을 포함한다. 이러한 구현예들에서, 상기 제 3 홀들 각각은 상기 내측 유동 통로들 중 하나와 상기 대면플레이트의 상기 제 1 측 간에 걸쳐 있으며, 상기 제 3 홀들 각각은 상기 제 1 저 열전도도 베리어와 상기 제 2 저 열전도도 베리어 간의 영역에서 상기 대면플레이트의 상기 제 1 측을 나갈 수 있다.
샤워헤드의 몇몇 구현예들에서, 상기 제 1 플레넘 볼륨, 상기 제 2 플레넘 볼륨 및 상기 제 3 플레넘 볼륨은 모두가 개별 가스 유입구들로부터 가스를 공급받을 수 있다.
샤워헤드의 몇몇 구현예들에서, 상기 제 2 관통-홀들은 상기 내측 유동 통로들과 교차하며, 이로써 상기 제 2 관통-홀들은 상기 대면플레이트 내의 상기 내측 유동 통로들과 유체적으로 연통할 수 있다.
몇몇 구현예들에서, 상기 대면플레이트는 상기 대면플레이트의 상기 제 2 측에서의 복수의 제 4 홀들을 더 포함하며, 상기 제 4 홀들 각각은 상기 내측 유동 통로들 중 하나와 상기 대면플레이트의 상기 제 2 측 간에 걸쳐 있을 수 있다. 몇몇 이러한 구현예들에서, 상기 제 4 홀들은 상기 대면플레이트 내의 상기 제 2 관통-홀들과 유체적으로 분리될 수 있다.
도 1a는 다중-플레넘 (multi-plenum), 이중-온도 (dual-temperature) 샤워헤드의 실례의 개념적 단면 개략도이다.
도 1b는 하나 이상의 냉각 통로들을 구비한 도 1a의 다중-플레넘, 이중-온도 샤워헤드의 실례의 개념적 단면 개략도이다.
도 2는 다중-플레넘, 이중-온도 샤워헤드의 다른 실례의 개념적 단면 개략도이다.
도 3a는 3 개의 플레넘들을 갖는 다중-플레넘, 이중-온도 샤워헤드의 실례의 개념적 단면 개략도이다.
도 3b는 도시된 가스 유동들을 갖는 도 3a의 예시적인 다중-플레넘, 이중-온도 샤워헤드의 개념적 단면 개략도이다.
도 4는 플라즈마 돔과 접하는 (interfaced) 다중-플레넘, 이중-온도 샤워헤드의 실례의 개념적 단면 개략도이다.
도 5a는 이중-플레넘, 이중-온도 샤워헤드의 일 실례의 등측도이다.
도 5b는 도 5a의 이중-플레넘, 이중-온도 샤워헤드의 실례의 부분 등측도이다.
도 5c는 파선 사각형 내에 포함된 도 5a의 부분의 상세한 도면이다.
도 5d는 도 5a로부터의 이중-플레넘, 이동 온도 샤워헤드의 실례의 삼측 부분 분해도 (trimetric exploded section view) 이다.
도 5e는 도 5d의 일부의 상세한 도면이다.
도 6a는 삼중(tri)-플레넘, 이중(dual)-온도 샤워헤드의 일 실례의 등측도이다.
도 6b는 도 6a의 삼중-플레넘, 이중-온도 샤워헤드의 실례의 부분 등측도이다.
도 6c는 파선 사각형 내에 포함된 도 6a의 부분의 상세한 도면이다.
도 6d는 도 6a로부터의 삼중-플레넘, 이동 온도 샤워헤드의 실례의 삼측 부분 분해도 (trimetric exploded section view) 이다.
도 7a는 삼중(tri)-플레넘, 이중(dual)-온도 샤워헤드의 다른 실례의 등측도이다.
도 7b는 도 7a의 삼중-플레넘, 이중-온도 샤워헤드의 실례의 부분 등측도이다.
도 7c는 파선 사각형 내에 포함된 도 7a의 부분의 상세한 도면이다.
도 7d는 도 7a로부터의 삼중-플레넘, 이동 온도 샤워헤드의 실례의 삼측 부분 분해도 (trimetric exploded section view) 이다.
도 1a 내지 도 4는 축척대로 도시되지 않을 수 있다. 도 5a 내지 도 7d는 축적대로 도시된다.
다양한 구현예들의 실례들이 첨부 도면들에서 예시되며 이하에서 더 기술된다. 본 명세서에서의 논의는 기술된 특정 구현예들로 청구항들을 한정하고자 하는 것이 아니다. 이와 반대로, 본 논의는 첨부된 청구항들에 의해서 규정되는 바와 같은 본 개시의 사상 및 범위 내에 포함될 수 있는 대체 사항들, 수정 사항들 및 균등 사항들을 포함하는 것으로 의도된다. 다음의 설명에서, 다수의 특정 세부사항들이 본 개시의 철저한 이해를 제공하기 위해서 제시된다. 본 개시는 이러한 특정 세부사항 전부 또는 일부 없이도 실시될 수 있음이 본 기술 분야의 당업자에게 자명하다. 다른 실례에서, 잘 알려진 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로는 기술되지 않았다.
2 개 이상의 프로세스 가스들 중 적어도 2 개를 샤워헤드 내에서 실질적으로 상이한 온도들에서 유지하면서 2 개 이상의 프로세스 가스들을 웨이퍼 반응 구역으로 개별적으로 제공할 수 있는 샤워헤드를 제공하도록 다양한 방식으로 조합될 수 있는 다양한 설계 개념들을 예시하는 다양한 반도체 프로세싱 샤워헤드 설계들이 본 명세서에서 기술된다.
본 개시의 많은 도면들 및 설명들에서 몇몇 용례들이 채용되었다. 예를 들어서, 다양한 부분들에서 예를 들어서 "플레넘 볼륨 (plenum volume)" 과 같은 "볼륨 (volume)" 이 참조된다. 이러한 볼륨들은 다양한 도면들에서 전반적으로 점선, 파선, 및 이들의 조합을 사용하여서 표시될 수 있다. 이러한 선들은 이러한 볼륨들의 근사치를 나타내며, 실제 볼륨들은 예를 들어서 이 볼륨의 경계를 정하는 다양한 고체 표면들로 연장될 수 있음이 이해될 것이다 (시인성을 위해서, 도면들에서 이러한 볼륨들을 나타내는 선들은 소정의 볼륨의 부분들을 구획할 수 있는 다양한 구조체들로부터 근소하게 오프셋된다 (offset)). 플레넘 볼륨의 고체 경계 표면을 통해서 연장되는 예를 들어서 가스 유입구들 및 다른 홀들과 같은 다양한 소형 볼륨들은 플레넘 볼륨들과 유체적으로 연결될 수 있다.
또한, 도면들은 때로 특정 도면 내의 다수의 유사한 구조체들을 도시한다. 공간상의 제약으로 인해서, 도면 내의 특정 요소의 각각의 실례에 참조부호를 부여하는 것 (labeling) 은 전반적으로 가능하지 않다. 따라서, 일 요소가 일 도면 내에서 다수회 도시되기도 하지만, 이 요소는 1회 또는 2회만 나타날 수도 있다. 소정의 도면에서 참조부호가 부여된 구조체 또는 요소와 유사한 참조부호가 부여되지 않은 구조체들 또는 요소들은 또한 이러한 참조부호에 대응하는 것으로서 인식될 것이다.
"위", "상단 상", "아래", "밑" 등과 같은 상대적 용어들의 사용은, 샤워헤드의 정상적인 사용 동안에, 즉 샤워헤드가 웨이퍼 프로세싱 동작들 동안에 웨이퍼를 향해서 가스들을 하향 분배하도록 배향되는 동안에, 컴포넌트들의 배향들에 있어서 이러한 컴포넌트들의 공간적 관계들을 말하는 것이다. 마찬가지로, "인장 상태 (in tension)" 또는 "압축 상태 (in compression)" 와 같은 용어들은 샤워헤드가 정상 사용 상태에 있을 때에 컴포넌트들의 상태를 말하는 것이다.
본 명세서에서 "열적 전도성" 경로들이 참조되는 경우에, 이는 예를 들어서 샤워헤드 내에 존재할 수 있는 가스상 분위기들을 통한 열 전도를 말하는 것이 아니라 물리적 구조적 특징부들에 의해서 제공되는 열적 전도성 경로들을 말한다.
도 1a는 다중-플레넘 (multi-plenum), 이중-온도 (dual-temperature) 샤워헤드의 실례의 개념적 단면 개략도이다. 샤워헤드 (100) 는 제 1 플레넘 볼륨 (102) 및 제 2 플레넘 볼륨 (104) 을 포함하는 다수의 플레넘 볼륨들을 포함할 수 있다. 플레넘 분할기 (108) 의 제 1 측 (112) 이 제 1 플레넘 볼륨 (102) 을 적어도 부분적으로 경계짓고 플레넘 분할기 (108) 의 제 2 측 (114) 이 제 2 플레넘 볼륨 (104) 을 적어도 부분적으로 경계짓도록, 플레넘 분할기 (108) 가 제 1 플레넘 볼륨 (102) 과 제 2 플레넘 볼륨 (104) 간에서 위치할 수 있다.
제 1 플레넘 볼륨 (102) 은 백플레이트 (182) 또는 제 1 플레넘 볼륨 (102) 내로 프로세스 가스들을 한정하도록 설계된 예를 들어서 플라즈마 돔과 같은 다른 구조체에 의해서 경계가 더 정해질 수 있다. 백플레이트는 제 1 플레넘 볼륨 (102) 내로 제 1 프로세스 가스를 전달하도록 구성된 하나 이상의 제 1 가스 유입구들 (144) 을 가질 수 있다.
제 2 플레넘 볼륨 (104) 은 제 1 측 (116) 및 제 2 측 (118) 을 갖는 대면플레이트 (110) 에 의해서 경계가 더 정해질 수 있다. 대면플레이트 (110) 의 제 1 측 (116) 은 플레넘 분할기 (108) 의 제 2 측 (114) 으로부터 제 1 갭 (128) 을 구성하는 제 1 거리 (120) 만큼 오프셋될 수 있다. 제 2 플레넘 볼륨 (104) 은 예를 들어서 링 형상의 얇은 금속 시일 (seal) 과 같은 제 1 저 열전도도 베리어 (154) 에 의해서 경계가 더 정해질 수 있다. 제 1 저 열전도도 베리어 (barrier) (154) 는 시일을 형성하도록 플레넘 분할기 (108) 의 제 2 측 (114) 과 대면플레이트의 제 1 측 (116) 간에서 압축될 수 있다. 제 1 저 열전도도 베리어 (154) 및 임의의 유사한 베리어들에 의해서 제공되는 시일은 주변 대기 상태와 진공 상태 간의 압력 차에 대항하여 실링할 수 있지만, 반도체 프로세싱 분위기들에서 사용되는 실질적으로 낮은 압력으로 인해서, 제 1 저 열전도도 배리어 (154) 는 또한 더 작은 실링 정도를 제공하지만, 예를 들어서 주변 대기 상태와 진공 상태 간의 압력 차에 대항하여 실링을 할 수 없지만, 저압 웨이퍼 프로세싱 동작들 동안에 경험되는 압력 차들, 즉 낮은 압력 차들에 대항하여서 제 2 플레넘 볼륨 (104) 을 실질적으로 실링할 수 있도록 선택될 수도 있다.
본 명세서에서 제공되는 실례들에서 사용되는 제 1 저 열전도도 베리어 및 다른 잠재적 저 열전도도 베리어들은 (제 1 플레넘 볼륨 또는 다른 플레넘 볼륨들으로부터 저 열전도도 베리어를 통한 가스 유동을 방지 또는 억제하도록) 실질적으로 가스 흐름이 실질적으로 침투할 수 없으면서 저 열전도를 갖는 임의의 다양한 구조체들을 사용하여서 제공될 수 있다. 도시된 실례들에서, 저 열전도도 베리어는 높은 폭에 대한 길이 비 (및 따라서 높은 단면적 비) 를 갖는 (방사상 단면 (cross-section) 에서의) 열 유동 경로 (heat flow path) 를 제공하며, 이로써 통상적으로 가스 플레넘들을 구획하는 예를 들어서 O-링들, 또는 고체 구조적 요소들과 같은 다른 잠재적 베리어들과 비교하여서 크게 감소된 열 전도도를 낳는다.
저 열전도도 베리어는 반도체 프로세싱 분위기들을 견딜 수 있으면서 또한 Al 합금에 비해서 보다 낮은 열 전도도를 제공하는 예를 들어서 스테인레스 스틸, 또는 인코넬과 같은 니켈을 많이 함유한 스틸 합금과 같은, 샤워헤드의 분위기 상태들 (온도, 화학적 분위기, 등) 에 적합한 저 열전도도 재료로부터 이루어질 수 있다. 저 열전도도 베리어들을 구성할 수 있는 다른 가능한 재료는 텅스텐이다.
저 열전도도 베리어들이 환상 시일 (annular seal) 의 형태를 취할 수 있지만, 사용될 수 있는 저 열전도도 베리어의 다수의 단면 기하구조가 존재할 수 있다. 예를 들어서, 도시된 시일들은 일반적으로 "M" 시일 또는 "W" 시일로서 기술될 수 있는 단면 형상들을 가지지만, "S" 형상, "C" 형상, "N" 형상, "Z" 형상 또는 "V" 형상과 같은 단면 형상들 및 임의의 특정 알파벳 문자에 대응하지 않는 단면 형상들이 또한 사용될 수 있다. 일반적으로 말하자면, 저 열전도도 베리어들은 서펜타인형 경로 (serpentine path) 를 따르며 자체적으로는 접촉하지 않는 단면을 가질 수 있다. 따라서, 이러한 저 열전도도 베리어들은 이 저 열전도도 베리어 단면의 서펜타인 길이보다 실질적으로 작은 갭에 걸쳐 있을 수 있다. 따라서, 이러한 갭에 걸쳐 있는 저 열전도도 베리어의 열적 저항 (thermal resistance) 은 저 열전도도 베리어가 가능한한 짧게 되는 경우에서의, 즉 그 길이가 단지 대면플레이트와 플레넘 분할기 간의 갭을 브리지 (bridge) 하기만 하면 되는 길이인 경우에서의 열적 저항보다 매우 높다.
몇몇 구현예들에서, 저 열전도도 베리어의 서펜타인 길이는 0.5 내지 1.5 인치의 크기에 있으며 저 열전도도 베리어 재료의 두께는 0.003 인치 내지 0.009 인치의 크기에 있을 수 있다. 또한, 몇몇 구현예들에서, 저 열전도도 베리어는 대면플레이트의 총 표면적의 약 1 퍼센트 이하만과 접촉할 수 있다.
제 2 플레넘 볼륨 (104) 은 제 2 가스 유입구 (146) 와 유체적으로 연결될 수 있다. 도시된 실례에서, 제 1 가스 유입구 (144) 및 제 2 가스 유입구 (146) 는 동축 방식으로 배열되며 제 2 가스 유입구 (146) 는 중앙에 있으며 플레넘 분할기 (108) 를 통과하며 제 2 플레넘 볼륨 (104) 내로 돌출된다. 방사상 가스 분배 홀들 (150) 의 원형 어레이는 제 2 플레넘 볼륨 (104) 내로 돌출된 제 2 가스 유입구 (146) 의 일부의 외측 둘레 근처에서 이격되어서 제 2 가스 유입구 (146) 를 통해서 흐르는 가스가 제 2 플레넘 볼륨 (104) 내로 실질적으로 방사상-대칭 방식으로 유동하게 한다. 제 2 가스 유입구 (146) 는 대면플레이트 (110) 에서 종단되거나 도시된 바와 같이 대면플레이트 (110) 에 도달하기 이전에 캡이 제거 (capped off) 될 수 있다 (이로써, 대면 플레이트 (110) 와 접촉하지 않게 된다).
제 1 프로세스 가스 유입구 (144) 내로 흐르는 가스는 제 1 가스 유입구 (144) 와 제 2 가스 유입구 (146) 간의 환상 공간을 통해서 흐리고 이어서 제 1 플레넘 (102) 내로 흐른다. 다른 가스 유입 구성들이 도시된 동축 배열 대신에 사용될 수 있다. 예를 들어서, 도시된 동축 배열은 중앙에서 에지로 공급되는 플레넘들에서는 적합할 수 있지만, 다른 샤워헤드 기하구조들은 에지에서 중앙으로 공급되는 플레넘들 (edge-to-center fed plenum) 을 사용할 수 있으며 이러한 경우에서는 가스 유입구들 중 하나 이상은 샤워헤드의 외측 둘레 근처에 위치할 수 있다.
대면플레이트 (110) 는 샤워헤드 (100) 의 다른 컴포넌트들과 실질적으로 열적으로 격리될 수 있다. 예를 들어서, 대면플레이트 (110) 는 대면플레이트와 샤워헤드 (100) 의 나머지 부분 간의 전도성 열 전달을 줄이거나 최소화시키도록 다른 컴포넌트들과의 최소화된 부품 간 접촉을 가질 수 있다. 이상적인 경우에서, 대면플레이트 (110) 는 샤워헤드 (100) 내의 다른 컴포넌트들에 대해서 공간적으로 플로팅 (floating) 할 것이다. 그러나, 실제적 고려사항들은 대면플레이트 (110) 가 샤워헤드 (100) 의 다른 컴포넌트들과 일부 접촉하는 것을 요구한다. 예를 들어서, 대면플레이트 (110) 는 공간 내에서 물리적으로 지지되어야 하며 제 1 갭 (128) 내의 제 2 플레넘 볼륨 (104) 을 부분적으로 구획하기 위해서 일부의 일종의 구조체가 필요할 수 있다. 몇몇 구현예들에서, 대면플레이트는 또한 전류 공급을 요구하는 하나 이상의 전극들 또는 다른 디바이스들을 포함할 수도 있다. 이러한 구현예들에서, 대면플레이트 (110) 와 샤워헤드 (100) 의 다른 컴포넌트들 간의 하나 이상의 전기 도전성 접촉부들을 제공하는 것이 필요하거나 바람직하다. 이러한 대면플레이트 (110) 와 샤워헤드 (100) 의 다른 컴포넌트들 간의 이러한 전기적 접속부들은 대면플레이트 (110) 와 샤워헤드 (100) 의 다른 컴포넌트들 간의 열적 전도성 경로로서 작용한다.
일반적으로 말하자면, 대면플레이트 (110) 를 샤워헤드 (100) 의 나머지 부분, 예를 들어서 저 열전도도 베리어들 및 LCA (low-contact area) 특징부들과 연결시키는 구조체들의 총 열전도도는 다수의 통상적인 대면플레이트들에서 볼 수 있는 O-링 시일 또는 금속 간 접촉부의, 1 W/(in2K) 의 크기를 갖는 열전도도에 비해서 보다 낮은 대략 0.05 W/(in2K) 의 크기로 한정될 수 있다. 이러한 한정사항에 따를 수 있는 샤워헤드를 구현하는 다수의 방식들이 존재한다. 도시된 설계들은 단지 일 방식을 나타내지만, 다른 방식들도 역시 본 개시의 범위 내에 있다.
도 1a에서, 대면플레이트 (110) 는 복수의 LCA 특징부들 (152) 에 의해서 지지된다. 도시된 실례에서, LCA 특징부들 (152) 은 LCA 볼들을 사용하여서 제공되며, 이 볼들은 대면플레이트 (110) 와 플레넘 분할기 (108) 에 연결된 칼라 (collar) (170) 간에 개재된 구형 볼들 (168) 이다. 이 구형 볼들 (168) 은 대면 플레이트 (110) 가 칼라 (170) 에 대해서 방사상으로 슬라이딩하는 것을 실질적으로 방지하도록 대면 플레이트 (110) 및 칼라 (170) 상의 홈들 또는 리세스들 내에 안치될 수 있다. 구형 볼들 (168) 은, 칼라 (170) 가 샤워헤드 (100) 에 부착되는 때에, 대면플레이트 (110) 의 중량에 의해서 압축된 상태로 배치되거나 제 1 저 열전도도 베리어 (154) 또는 대면플레이트 (110) 와 플레넘 분할기 (108) 간에서 압축될 수 있는 다른 컴포넌트들에 의해서 제공되는 임의의 잠재적 탄성력에 의해서 압축 상태로 배치될 수 있다. 구형 볼들 (168) 에 대해 작용하는 압축성 부하들은 구형 볼들 (168) 이 대면플레이트 (110) 또는 샤워헤드 (100) 의 나머지 부분에 접촉되는 위치에서 실제로 점 또는 에지 부하로서 구형 볼들에 전달될 수 있다. 이러한 압축성 부하 경로들은 열전도성 경로들로서 역할을 할 수 있지만, 이러한 경로들의 열전도도는 접촉 패치들 (contact patches) 에서의 이러한 경로들의 매우 작은 단면적으로 인해서 극히 작을 수 있다. LCA 특징부들 (152) 은 대면플레이트 (110) 를 칼라 (170) 의 칼라 표면으로부터 제 4 거리 (126) 만큼 이격시키는 역할을 할 수 있다.
필요하다면 비구형 볼들이 사용될 수도 있지만, 이러한 LCA 특징부들 (152) 은 사용하는 것이 보다 어렵다고 입증되었는데, 그 이유는 접촉 면적이 대면플레이트 (110) 및 샤워헤드 (100) 의 나머지 컴포넌트들에 대한 볼들의 배향에 의존할 수 있기 때문이다. 물론, LCA 볼들 대신에, 소형 단면 포스트 (post), 에지 컨택트 등과 같은 다른 LAC 특징부들 (152) 이 사용될 수 있다. 이러한 특징부들은 칼라 (170) 로 머시닝될 수 있다.
상술한 구조체들은 제 1 플레넘 볼륨 (102) 및 제 2 플레넘 볼륨 (104) 및 이 두 플레넘 볼륨들로의 가스 유입구들을 제공한다. 이제 각 플레넘 볼륨으로부터 웨이퍼 반응 구역으로, 즉 샤워헤드 (100) 하방으로 가스를 분배하는 것을 실현하는 구조체들 및 특징부들이 기술될 것이다.
플레넘 분할기 (108) 는 플레넘 분할기 (108) 의 제 2 측 (114) 으로부터 돌출되는 복수의 관형 구조체들 (132) 을 포함할 수 있다. 복수의 관형 구조체들 (132) 은 예를 들어서 플레넘 분할기 (108) 와 같은 전체 플레넘 분할기 (108) 구조체에 접하며, 관형 구조체들 (132) 은 모두가 공통 빌릿 (billet) 재료로부터 머시닝되거나 단일 캐스트된 또는 몰드된 부분으로부터 생성되거나 예를 들어서 플레넘 분할기 (108) 에 브레이징되거나 확산 본딩되거나 접착되거나 압축되거나 이와 달리 부착되는 튜브들과 같은 개별 구조체들일 수 있다. 관형 구조체들 (132) 각각은 외측 표면 (134) 및 제 1 관통-홀 (136) 을 가질 수 있다. 관형 구조체들 (132) 은 플레넘 분할기 (108) 의 제 2 측 (114) 으로부터 제 2 거리 (122) 만큼 돌출될 수 있다. 제 2 거리 (122) 는 제 1 거리 (120) 보다 길다.
이어서, 대면플레이트 (110) 는 복수의 제 2 관통-홀들 (138) 을 가질 수 있다. 제 2 관통-홀들 (138) 은 관형 구조체들 (132) 보다 큰 크기를 가질 수 있다. 예를 들어서, 관형 구조체들 (132) 의 외측 표면들 (134) 과 제 2 관통-홀들 (138) 의 내측 표면들 (142) 간에 적어도 제 3 거리 (124) 만큼의, 일정하거나 가변적인 오프셋 (offset) 이 존재할 수 있다. 이러한 오프셋은, 둥근형 관형 구조체들 (132) 및 둥근형 제 2 관통-홀들 (138) 의 경우에, 관형 구조체 (132) 와 제 2 관통-홀 (138) 간의 환상 갭 (130) 을 생성할 수 있다. 가변 제 3 거리 (124) 를 갖는 구현예들에서, 제 3 거리 (124) 는 각 관형 구조체 (132) 및 제 2 관통-홀 (138) 에 대해서 변할 수 있다. 예를 들어서, 정상적인 제조 공차들로 인해서 관형 구조체는 제 2 관통-홀 (138) 에 대해서 위치할 때에 어느 정도의 상대적 미스매치 (mismatch) 를 경험할 수 있다. 이와 달리 또는 추가적으로, 관형 구조체들 (132) 과 제 2 관통-홀 (138) 간의 공칭 오프셋 (nominal offset) 은 관형 구조체 (132) 의 위치에 따라서 변하도록 조작될 수 있다. 예를 들어서, 대면플레이트 (110) 의 외연 근처에 있는 관형 구조체들에 있어서의 제 3 거리 (124) 가 대면플레이트 (110) 의 중앙 근처에 있는 관형 구조체들에 있어서의 제 3 거리 (124) 보다 클 수 있도록 샤워헤드 (100) 가 설계될 수 있다. 이는 각 제 2 관통-홀 (138) 을 통해서 전달되는 플로우 레이트가 방사상 위치의 함수로서 조절될 수 있게 한다.
몇몇 구현예들에서, 제 2 거리 (122) 는 제 1 거리 (120) 보다 클뿐만 아니라 각 관형 구조체 (132) 가 대응하는 제 2 관통-홀 (138) 에 근접한 대면플레이트 (110) 의 제 2 측 (118) 과 실질적으로 동일한 위치에서 종단되도록 충분하게 길다. 그러나, 몇몇 구현예들에서, 관형 구조체들 (132) 은 제 2 관통-홀 (138) 내의 위치에서 종단되거나 대면플레이트 (110) 의 제 2 측 (118) 을 더 지난 위치에서 종단될 수도 있다.
도 1b는 하나 이상의 냉각 통로들을 구비한 도 1a의 다중-플레넘, 이중-온도 샤워헤드의 실례의 개념적 단면 개략도이다. 이러한 통로들이 본 명세서에서 "냉각" 통로들로서 지칭되지만, 이러한 지칭은 이러한 통로들이 가열을 위해서 또는 보다 전반적으로는 온도 제어를 위해서 사용될 수 있는 구현예들을 포함하는 것으로 의도된다. 따라서, 유체들이 샤워헤드 온도를 증가 또는 감소시키도록 냉각 통로들을 통해서 인도될 수 있다. 볼 수 있는 바와 같이, 제 1 플레넘 (102) 은 냉각제로 하여금 플레넘 분할기 (108) 를 통해서 순환될 수 있게 하는 냉각 통로들 (178) 을 사용하여서 냉각될 수 있다. 다른 구현예는 플레넘 분할기 (108) 내에서 순환되는 냉각제와 더불어서 또는 이를 대체하여서 냉각제가 백플레이트 (182) (미도시) 를 통해서 순환하게 할 수 있는 냉각 통로들을 제공할 수 있다. 이러한 구성들은 반도체 프로세싱 동작들 동안에 제 2 플레넘 볼륨이 능동적으로 냉각될 수 있게 한다. 이와 동시에, 대면플레이트 (110) 와 샤워헤드 (100) 의 나머지 컴포넌트들 간의 줄어든 열전도성 경로들로 인해서, 대면플레이트 (110) 는 이러한 냉각 통로들에 의해서 제공되는 냉각에 의해서 상대적으로 영향을 받지 않을 수 있다. 이는 대면플레이트 (110) 가 샤워헤드 (100) 의 나머지 컴포넌트들보다 잠재적으로 매우 높은 온도로 유지될 수 있게 하며 이로써 제 2 플레넘 볼륨 (104) 내의 가스가 제 1 플레넘 볼륨 (102) 내의 가스가 유지되는 온도보다 잠재적으로 매우 높은 온도로 유지될 수 있게 한다. 또한, 대면플레이트 (110) 의 제 2 측 (118) 이 반응 구역 내의 다른 표면들보다 매우 높은 온도로 유지될 수 있으며 이로써 웨이퍼 상의 보다 양호한 품질의 증착을 제공할 수 있다.
도 2는 다중-플레넘, 이중-온도 샤워헤드의 다른 실례의 개념적 단면 개략도이다. 도 2에서, 샤워헤드 (200) 의 전반적 구조는 도 1a 및 도 1b의 샤워헤드 (100) 의 전반적 구조와 유사하다. 이하에서 기술되는 도 2의 특정 구조체, 요소들 및 특징부들을 제외하면, 도 1a 및 도 1b의 구조체들, 요소들 및 특징부들과 동일한 마지막 두자리수를 갖는 참조부호들로 열거되는 도 2의 구조체들, 요소들 또는 특징부들은 상술한 도 1a 및 도 1b의 이러한 대응하는 구조체들, 요소들 또는 특징부들과 실질적으로 동일함이 이해되어져야 한다. 반복을 피하기 위해서, 독자들은 이러한 항목들에 대해서는 위의 설명을 참조하면 된다.
샤워헤드 (200) 와 샤워헤드 (100) 간의 한가지 차이점은 샤워헤드 (200) 의 대면플레이트 (210) 가 압축성 지지력들 (compressive supports) 보다는 인장성 지지력들 (tensile supports) 을 사용하여서 지지된다는 것이다. 도 2에 도시된 바와 같이, 회전가능한 캠록들 (camlocks) (262) 의 방사상 어레이, 즉 캠밍 특징부 (camming feature) 를 통해서 포스트 (260) 와 체결되도록 구성된 리셉터클 (receptacle) 을 갖는 실린더들의 방사상 어레이가 플레넘 분할기 (208) 의 외연 (또는 샤워헤드 (200) 의 다른 부분의 외연) 주변에 위치할 수 있다. 포스트들 (260) 은 예를 들어서 스탠드오프 (standoff) (266) 및 스프링 (264) 을 통해서 대면플레이트 (210) 에 연결될 수 있다. 스프링 (264) 은 코일 스프링일 수 있거나 예를 들어서 각 포스트 (260) 를 둘러서 교번하는 방향으로 배치되는 Belleville 워셔들 (washers) 의 스택과 같은 몇몇 다른 메카니즘을 통해서 제공될 수도 있다. 스탠드오프 (266) 는 대면플레이트 (210) 내에 내장되거나 대면플레이트 (210) 에 이와 달리 연결될 수 있다. 스탠드오프 (266) 는 포스트 (260) 가 대면플레이트 (210) 로부터 멀어지게 끌어당겨지면 스프링 (264) 을 압축하는 역할을 할 수 있는 예를 들어서 내부 레지 (ledge) 또는 쇼울더와 같은 특징부들을 가질 수 있다. 스탠드오프 (266) 는 대면플레이트 (210) 로부터 돌출될 수도 있다. 포스트 (260) 가 그의 대응하는 캠록 (262) 과 체결되고 대응하는 캠록 (262) 이 회전하면, 캠록 (262) 은 포스트 (260) 를 잡아당기도록 시도하며 초기에는 대면플레이트 (210) 를 플레넘 분할기 (208) 로 향하게 한다. 종국적으로 포스트 (260) 및 대면플레이트 (210) 가 충분한 거리만큼 플레넘 분할기 (208) 로 향해서 당겨지면, 스탠드오프 (266) 는 플레넘 분할기 (208) (또는 다른 구조체) 과 접촉하며 포스트 (260) 의 나머지 이동은 주로 스프링 (268) 의 압축력에 의해서 수용될 수 있다. 이로써, 포스트들 (260) 은 인장 상태에 위치하게 된다.
샤워헤드의 나머지 부분에 대하여 대면플레이트를 지지하는 힘은 어떠한 것이라도 인장 응력과 압축 응력의 어느 정도의 결합을 수반할 것임이 이해되어야 한다. 이러한 지지력이 "인장 상태에 있는" 것으로 지칭되면, 이러한 지지력은 대면 플레이지 지지에 기여할 수 있는 평균 최대 응력이 예를 들어서 도 2의 캠록/포스트 구성에서와 같이 그 특성상 인장성인 구조적 구성을 수반한다. 이와 반대로, 이러한 지지력이 "압축 상태에 있는" 것으로 지칭되면, 이러한 지지력은 대면 플레이지 지지에 기여할 수 있는 평균 최대 응력이 예를 들어서 도 1a 및 도 1b의 구형 볼 구성에서와 같이 그 특성상 압축성인 구조적 구성을 수반한다.
볼 수 있는 바와 같이, 칼라 (270) 는 대면플레이트 (210) 의 근방에서 단차형 쇼울더를 특징적으로 갖지 않고 그 대신에 대면플레이트 (210) 직경보다 작은 내측 직경으로 하향으로 폭이 작아지는 테이퍼진 쇼울더 (tapered shoulder) 를 갖는다는 점에서 칼라 (170) 와 근소하게 상이하다. 다른 구현예들에서, 칼라 (270) 는 전혀 존재하지 않거나 대면플레이트 (210) 의 외경보다 큰 내경을 가질 수도 있다. 칼라 (270) 또는 상이한 설계의 칼라가 사용되면, 이 칼라는 적어도 제 4 거리 (226) 만큼 대면플레이트 (210) 로부터 오프셋될 수 있다. 이러한 오프셋은 열적 차단부 역할을 하여서 대면플레이트 (210) 와 칼라 (270) 간의 직접적인 열전도성 접촉을 방지한다.
도 3a는 3 개의 플레넘들을 갖는 다중-플레넘, 이중-온도 샤워헤드의 실례의 개념적 단면 개략도이다. 도 3b는 도시된 가스 유동들을 갖는 도 3a의 예시적인 다중-플레넘, 이중-온도 샤워헤드의 개념적 단면 개략도이다. 도 1a, 도 1b 및 도 2에서, 도시된 샤워헤드들은 샤워헤드 내의 가스들 간의 전달 이전의 혼합 없이 웨이퍼 반응 구역으로 2 개의 상이한 프로세스 가스들을 전달하도록 구성된 이중-플레넘 샤워헤드들이다. 그러나, 본 명세서에서 기술된 이중-온도 샤워헤드 개념들은 2 개보다 많은 플레넘들을 갖는 샤워헤드들에도 적용될 수 있다. 도 3a 및 도 3b는 이러한 일 샤워헤드의 실례를 도시한다.
도 3a 및 도 3b에서, 샤워헤드 (300) 의 전반적 구조는 도 2의 샤워헤드 (200) 의 전반적 구조와 유사하다. 이하에서 기술되는 도 3a 및 도 3b의 특정 구조체, 요소들 및 특징부들을 제외하면, 도 2의 구조체들, 요소들 및 특징부들과 동일한 마지막 두자리수를 갖는 참조부호들로 열거되는 도 3a 및 도 3b의 구조체들, 요소들 또는 특징부들은 상술한 도 2의 이러한 대응하는 구조체들, 요소들 또는 특징부들과 실질적으로 동일함이 이해되어져야 한다. 반복을 피하기 위해서, 독자들은 이러한 항목들에 대해서는 위의 설명을 참조하면 된다.
제 1 플레넘 볼륨 (302) 및 제 2 플레넘 볼륨 (304) 이외에도, 샤워헤드 (300) 는 제 1 저 열전도도 베리어 (354) 와 제 2 저 열전도도 베리어 (356) 간에 위치하며 플레넘 분할기 (308) 와 대면플레이트 (310) 간에 위치한 제 3 플레넘 볼륨 (306) 을 포함한다. 도시된 제 2 저 열전도도 베리어 (356) 는 본 실례에서 제 1 저 열전도도 베리어 (354) 보다 큰 직경을 갖는다.
제 3 가스 유입구 또는 유입구들 (348) 이 제 3 플레넘 볼륨 (306) 으로 가스를 공급하도록 구성될 수 있다. 복수의 제 3 홀들 (340) 이 제 3 플레넘 볼륨 (306) 이 대면플레이트 (310) 내의 내측 유동 통로들 (374) 과 유체적으로 연통하게 한다. 내측 유동 통로들 (374) 은 본 실례에서 대면플레이트 (310) 내에 있으면서 제 2 관통-홀 (338) 과는 유체적으로 분리될 수 있다. 본 실례에서, 내측 유동 통로들 (374) 은 제 4 홀들 (376) 과 유체적으로 연결되며, 이 제 4 홀들은 내측 유동 통로들 (374) 로 전달된 제 3 프로세스 가스들이 샤워헤드 (300) 아래의 웨이퍼 반응 구역으로 전달될 수 있게 한다.
도시된 실례에서, 제 3 가스 유입구 (348) 는 대면플레이트 (310) 의 둘레 근처에서 위치하며, 따라서 "에지에서 공급되는 가스 전달 (edge-fed gas delivery)" 로서 지칭될 수 있다. 다른 구현예들에서, 제 3 가스 유입구 (348) 는 예를 들어서 제 1 가스 유입구 (344) 및 제 2 가스 유입구 (346) 를 제공하는 동축 가스 유입구 구성의 일부와 같은, 샤워헤드 (300) 의 중앙 근처의 추가 동축 가스 유입구에 의해서 제공될 수 있다. "중앙에서 공급되는 가스 전달"로 지칭되는 이러한 구현예들에서, 제 2 저 열전도도 베리어 (356) 는 대면플레이트 (310) 및 플레넘 분할기 (308) 의 중앙 근처에 위치할 수 있다 (제 2 저 열전도도 베리어 (356) 의 직경은 제 1 저 열전도도 베리어 (354) 의 직경보다 상당히 작음). 일반적으로 말하자면, 에지에서 공급되는 가스 전달/중앙에서 공급되는 가스 전달의 다양한 구성들이 본 명세서에서 기술된 열적 격리 구조체들 및 방식들과 일치하는 본 명세서에서 개시된 샤워헤드들에서 사용될 수 있는 다양한 가스들에 대해서 사용될 수 있다.
도 3b에서 볼 수 있는 바와 같이, 제 1 가스 유입구(들) (344), 제 2 가스 유입구(들) (346), 및 제 3 가스 유입구(들) (348) 내로 흐른 프로세스 가스들은 이 가스들이 웨이퍼 반응 구역 (380) 으로 방출될 때까지 실질적으로 분리된 흐름들로 해서 샤워헤드를 통해서 흐를 수 있다. 이는 웨이퍼 반응 구역 (380) 으로 전달되기 이전에 프로세스 가스들이 샤워헤드 내에서 혼합되는 것을 실질적으로 방지할 수 있다. 이러한 분리 흐름 방식은 (원하지 않은 증착 또는 다른 부작용을 초래할 수 있는) 샤워헤드 내에서의 프로세스 가스들의 조기 반응을 방지할 수 있다. 또한, 분리된 흐름 방식은 프로세스 가스들이 웨이퍼 반응 구역 (380) 으로 방출될 때까지 프로세스 가스들의 적어도 2 개가 서로 매우 차이가 많이 나는 온도들에서 유지될 수 있게 할 수 있다.
도 4는 플라즈마 돔과 접하는 (interfaced) 다중-플레넘, 이중-온도 샤워헤드의 실례의 개념적 단면 개략도이다. 도 4에서, 샤워헤드 (400) 의 전반적 구조는 도 3a 및 도 3b의 샤워헤드 (300) 의 전반적 구조와 유사하다. 이하에서 기술되는 도 4의 특정 구조체, 요소들 및 특징부들을 제외하면, 도 3a 및 도 3b의 구조체들, 요소들 및 특징부들과 동일한 마지막 두자리수를 갖는 참조부호들로 열거되는 도 4의 구조체들, 요소들 또는 특징부들은 상술한 도 3a 및 도 3b의 이러한 대응하는 구조체들, 요소들 또는 특징부들과 실질적으로 동일함이 이해되어져야 한다. 반복을 피하기 위해서, 독자들은 이러한 항목들에 대해서는 위의 설명을 참조하면 된다.
전술한 예시적인 샤워헤드들에는 백플레이트가 제공되었다. 몇몇 구현예들에서, 예를 들어서 원격 플라즈마 생성이 요구될 수 있는 반도체 프로세스들에서 사용되도록 의도된 샤워헤드들에서는, 제 1 플레넘 볼륨이 대신에 플레넘 분할기 및 플라즈마 돔에 의해서 실질적으로 구획될 수 있다. 예를 들어서, 도 4에서, 샤워헤드 (400) 는 플라즈마 돔 (484) 을 플레넘 분할기 (408) 에 연결시키는 백플레이트 (482) 를 포함할 수 있다 (플라즈마 돔 (484) 은 또한 플레넘 분할기 (408) 에 바로 연결되거나 도시되지 않은 다른 컴포넌트들에 연결될 수 있다). 플라즈마 돔 (484) 은 예를 들어서 플라즈마 돔 (484) 의 상단 중앙 근처에 위치한 제 1 가스 유입구 (444)) 를 가질 수 있다. 전극들 (486) 이 플라즈마 돔 (484) 을 둘러서 위치하며 매칭 네트워크 (488) 를 통해서 무선 주파수 생성기 (490) 에 접속된다. 전극들 (486) 을 통해서, RF 생성기 (490) 는 제 1 프로세스 가스 유입구 (444) 를 통해서 공급된 가스들을 사용하여서 플라즈마 돔 (484) 내에서 플라즈마를 점화시킬 수 있다.
이전의 샤워헤드 실례들과 도 4의 실례 간의 다른 차이점은 제 2 플레넘 볼륨 (404) 이 대면플레이트 (410) 의 둘레 근처에서 이격된 제 2 가스 유입구들 (446) 을 통해서 가스를 공급받는다는 것이다. 이로써, 플라즈마 돔 (484) 이 플라즈마 생성에 방해할 수 있는 예를 들어서 중앙 공급형 제 2 가스 유입구와 같은 내부 구조체들이 필요 없게 된다.
이중-온도, 다중-플레넘 샤워헤드의 추가 실례들이 이러한 구현예들의 다양한 3차원 양태들을 나타내는 보다 상세한 도면들을 참조하여서 이하에서 논의된다.
도 5a는 이중-플레넘, 이중-온도 샤워헤드의 일 실례의 등측도이다. 도 5b는 도 5a의 이중-플레넘, 이중-온도 샤워헤드의 실례의 부분 등측도이다. 도 5c는 파선 사각형 내에 포함된 도 5a의 부분의 상세한 도면이다. 도 5d는 도 5a로부터의 이중-플레넘, 이동 온도 샤워헤드의 실례의 삼측 부분 분해도 (trimetric exploded section view) 이다.
볼 수 있는 바와 같이, 샤워헤드 (500) 는 대체적으로 원형 형상을 갖는다. 이러한 축방향으로 대칭적인 전반적인 프로파일들은 때로 대칭성 측면에서 반도체 제조 상황에서 바람직하지만, 샤워헤드 (500) 는 역시 다른 형상을 가질 수도 있는데, 예를 들어서 프로세스 균일성에 영향을 주지 않은 샤워헤드 (500) 의 부분들, 예를 들어서 웨이퍼 반응 구역에 대해서 외부에 있는 부분들은 예를 들어서 직사각형, 정방형 등과 같은 다른 형상들을 가질 수도 있다.
제 1 플레넘 볼륨 (502) 및 제 2 플레넘 볼륨 (504) 은 샤워헤드 (500) 내에서 위치할 수 있으며 플레넘 분할기 (508) 에 의해서 서로 분리될 수 있다. 제 1 플레넘 볼륨 (502) 은 제 1 가스 유입구 (544) 를 통해서 가슬 공급받을 수 있으며 플레넘 분할기 (508) 와 백플레이트 (582) 간에 위치할 수 있다. 제 2 플레넘 볼륨 (504) 은 제 1 가스 유입구 (546) 를 통해서 가스를 공급받을 수 있으며 플레넘 분할기 (508) 와 대면플레이트 (510) 간에 위치할 수 있다. 제 2 가스 유입구 (546) 는 가스가 제 2 플레넘 볼륨 (504) 내로 전달되는 때에 가스를 방사상 방향으로 초기에 유동시키는 복수의 방사상 가스 분배 홀들 (550) 을 가질 수 있다. 대면플레이트 (510) 는 LCA 특징부들 (552) 을 통해서 칼라 (570) 에 의해서 지지될 수 있으며, 제 1 저 열전도도 베리어 (554), 제 2 저 열전도도 베리어 (556), 및 제 3 저 열전도도 베리어 (557) 가 대면플레이트 (510) 와 플레넘 분할기 (508) 간에 개재될 수 있다. 샤워헤드 (500) 에서, 3 개의 저 열전도도 베리어들이 사용되지만, 예를 들어서 샤워헤드들 (100, 300) 과 유사한 다른 구현예들은 1 개 또는 2 개의 저 열전도도 베리어만을 사용할 수도 있다. 본 실례에서, 제 3 저 열전도도 베리어 (557) 는 대면플레이트 (510) 내의 전극 (미도시) 으로 전력을 반송하도록 유연성이 있는 전기 도전성 접촉부 역할을 한다. 복수의 제 1 관통-홀들 (536) 은 제 1 플레넘 볼륨 (502) 을 샤워헤드 (500) 아래의 웨이퍼 반응 구역 (명시적으로 도시되지 않음) 으로 유체적으로 연결시킨다.
도 5c의 상세한 도면에서 더 볼 수 있는 바와 같이, 제 1 플레넘 (502) 은 플레넘 분할기 (508) 의 제 1 측 (512) 및 백플레이트 (582) 에 의해서 부분적으로 경계가 정해지며, 제 2 플레넘 볼륨 (504) 은 플레넘 분할기 (508) 의 제 2 측 (514) 및 대면플레이트 (510) 의 제 1 측 (516) 에 의해서 부분적으로 경계가 정해진다. 더 볼 수 있는 바와 같이, 플레넘 분할기 (508) 의 제 2 측 (514) 은 저 열전도도 베리어들을 수용하도록 구성된 리세스된 환상 채널들을 가질 수 있으며; 이는 어셈블리 내에서 저 열전도도 베리어들을 센터링 (centering) 하는 것을 지원하며 저 열전도도 베리어들이 임의의 상당한 거리만큼 방사상으로 변위되는 것을 방지한다. 그러나, 이러한 채널들을 선택적이며 또한 추가적으로 또는 이와 달리 대면플레이트 (510) 의 제 1 측 (516) 에 제공될 수 있다.
300 mm 반도체 웨이퍼들에 대해서 사용되도록 크기가 정해진 도시된 실시예에서, 제 1 저 열전도도 베리어 (554) 는 12 인치의 근사 내경을 가지며, 리세스된 환상 채널들의 하단들과 대면플레이트 (510) 간의 갭은 대략 0.2 인치이다. 제 1 저 열전도도 베리어 (554) 의 후방으로 휜 (recurvate) 특성으로 인해서, 제 1 저 열전도도 베리어 (554) 의 서펜타인 (serpentine) 단면 길이는 대략 1 인치이며, 즉 제 1 저 열전도도 베리어 (554) 가 걸쳐 있는 갭 폭보다 약 5 배 더 길다. 또한, 도시된 구현예에서, 대면플레이트 (510) 는 대략 380 제곱 인치의 전체 표면적을 가지며 (홀 측벽들을 포함하지 않음), 각각이 대략 0.006 제곱 인치의 면적에 걸쳐서 대면플레이트 (510) 와 접촉하는 6 개의 LCA 특징부들 (552) 에 의해서 지지된다. LCA 특징부의 대략 0.036 제곱 인치 면적의 접촉 이외에, 대면플레이트는 또한 도시된 3 개의 저 열전도도 베리어들과도 접촉하며 각 저 열전도도 베리어는 대면플레이트 (510) 의 대략 1 제곱 인치 이하의 면적과 접촉할 수 있다. 따라서, 본 실례의 대면플레이트 (510) 는 대면플레이트 (510) 의 대략 380 제곱 인치의 전체 표면적 중에서 대략 2 내지 3 제곱 인치 면적에 걸쳐서, 예를 들어서 대면플레이트 (510) 의 전체 표면적의 1 퍼센트 크기 이하에 걸쳐서 다른 컴포넌트들과 직접적으로 접촉하게 된다. 물론, 이러한 수치들은 도시된 구현예로 특정되며 오직 근사치이다. 이러한 수치들에 대한 변화는 역시 본 개시의 범위 내에 있으며 이러한 변화는 본 명세서에서 논의된 이점들을 낳을 수 있음을 제공한다.
또한 도 5c에서 볼 수 있는 바와 같이, 플레넘 분할기 (508) 는 각각이 상이한 제 1 관통-홀 (536) 과 연관된 복수의 관형 구조체들 (532) 을 가질 수 있다. 각 관형 구조체는 대면플레이트 (510) 내에 위치한 제 2 관통-홀들 (538) 내로 돌출될 수 있다 (본 경우에서는 제 2 관통-홀을 통과하고 있음). 볼 수 있는 바와 같이, 관형 구조체들 (532) 의 외측 표면들 (534) 과 제 2 관통-홀들 (538) 의 내측 표면들 (542) 간에 환상 갭이 존재한다. 본 실례에서, 관형 구조체 (532) 는 제 2 관통-홀들 (538) 을 통하여 연장되며 대면플레이트 (510) 의 제 2 측 (518) 과 실질적으로 동일한 위치에서 종단된다.
샤워헤드 내에서의 가스 흐름을 시각화하는 것을 돕기 위해서, 몇몇 가스 흐름들의 전반적 방향들을 표시하는 화살표들이 도 5c에 부가되었다. 예를 들어서, 제 1 플레넘 볼륨 (502) 을 통해서 전달되는 제 1 프로세스 가스는 검정색으로 채워진 화살표들로 표시되며, 제 2 플레넘 볼륨 (504) 을 통해서 전달되는 제 2 프로세스 가스는 백색으로 채워진 화살표들로 표시된다. 볼 수 있는 바와 같이, 검정색으로 채워진 화살표는 제 1 관통-홀 (536) 을 통한 유동을 표시하며, 백색으로 채워진 화살표는 외측 표면 (534) 과 내측 표면 (542) 간의 환상 공간을 통한 유동을 표시한다.
도 5d는 상술한 바와 같은 샤워헤드 (500) 의 삼측 분해 부분도이다. 볼 수 있는 바와 같이, 각 컴포넌트의 구성은 상대적으로 간단하며 조립 프로세스는 상대적으로 간소화될 수 있다. 또한, 도 5d에서는 칼라 (570) 의 일부를 도시하는 상세한 도면 5e가 나타난다. 칼라 표면 (572) 으로부터 돌출된 예를 들어서 스터드 (stud) 또는 다른 소형 돌출부와 같은 LCA 특징부 (552) 를 갖는 칼라 표면 (572) 이 구체적으로 보이게 된다.
도 5a 내지 도 5e의 샤워헤드 (500) 는 이중-플레넘 이중-온도 샤워헤드인 반면에, 도 6a 내지 도 6d는 삼중-플레넘 이중-온도 샤워헤드를 나타낸다. 도 6a는 삼중(tri)-플레넘, 이중(dual)-온도 샤워헤드의 일 실례의 등측도이다. 도 6b는 도 6a의 삼중-플레넘, 이중-온도 샤워헤드의 실례의 부분 등측도이다. 도 6c는 파선 사각형 내에 포함된 도 6a의 부분의 상세한 도면이다. 도 6d는 도 6a로부터의 삼중-플레넘, 이동 온도 샤워헤드의 실례의 삼측 부분 분해도 (trimetric exploded section view) 이다.
샤워헤드 (500) 와 유사하게, 제 1 플레넘 볼륨 (602) 및 제 2 플레넘 볼륨 (604) 이 샤워헤드 (600) 내에 위치하며, 플레넘 분할기 (608) 에 의해서 서로 분리될 수 있다. 제 1 플레넘 볼륨 (602) 은 제 1 가스 유입구 (644) 를 통해서 가스를 공급받을 수 있으며 플레넘 분할기 (608) 와 백플레이트 (682) 간에 위치할 수 있다. 제 2 플레넘 볼륨 (604) 은 제 1 가스 유입구 (646) 를 통해서 가스를 공급받을 수 있으며 플레넘 분할기 (608) 와 대면플레이트 (610) 간에 위치할 수 있다. 제 2 가스 유입구 (646) 는 가스가 제 2 플레넘 볼륨 (604) 내로 전달되는 때에 가스를 방사상 방향으로 초기에 유동시키는 복수의 방사상 가스 분배 홀들 (650) 을 가질 수 있다. 도 6에서 볼 수 있는 제 3 플레넘 볼륨 (606) 에는 하나 이상의 제 3 가스 유입구들 (548) 을 통해서 가스가 제공될 수 있다. 제 3 플레넘 볼륨 (606) 은 예를 들어서 대면플레이트 (610) 와 대면플레이트 커버 (694) 간에 형성된, 도 6c에서 볼 수 있는 내측 유동 통로 또는 통로들 (674) 로 프로세스 가스를 제공할 수 있다.
대면플레이트 (610) 는 LCA 특징부들 (652) 을 통해서 칼라 (670) 에 의해서 지지될 수 있으며, 제 1 저 열전도도 베리어 (654), 제 2 저 열전도도 베리어 (656), 및 제 3 저 열전도도 베리어 (657) 가 대면플레이트 (610) 와 플레넘 분할기 (608) 간에 개재될 수 있다. 샤워헤드 (600) 에서, 3 개의 저 열전도도 베리어들이 사용되지만, 제 3 저 열전도도 베리어 (657) 는 선택적일 수 있다. 예를 들어서, 제 3 플레넘 볼륨 (606) 은 제 1 저 열전도도 베리어 (654) 와 제 2 저 열전도도 베리어 (656) 간에 위치할 수 있다. 복수의 제 1 관통-홀들 (636) 이 제 1 플레넘 볼륨 (602) 을 샤워헤드 (600) 아래의 웨이퍼 반응 구역 (명시적으로 도시되지 않음) 과 유체적으로 연결시킬 수 있다.
도 6c의 상세한 도면에서 더 볼 수 있는 바와 같이, 제 1 플레넘 (602) 은 플레넘 분할기 (608) 의 제 1 측 (612) 및 백플레이트 (682) 에 의해서 부분적으로 경계가 정해지며, 제 2 플레넘 볼륨 (604) 은 플레넘 분할기 (608) 의 제 2 측 (614) 및 대면플레이트 (610) 의 제 1 측 (616) 에 의해서 부분적으로 경계가 정해진다. 더 볼 수 있는 바와 같이, 샤워헤드 (500) 에서와 유사하게, 플레넘 분할기 (608) 의 제 2 측 (614) 은 저 열전도도 베리어들을 수용하도록 구성된 리세스된 환상 채널들을 가질 수 있다.
도 6c에서 또한 볼 수 있는 바와 같이, 제 3 플레넘 볼륨 (606) 이 제 1 저 열전도도 베리어 (654) 와 제 2 저 열전도도 베리어 (656) 간에 그리고 제 1 플레넘 분할기 (608) 의 제 2 측 (614) 과 대면플레이트 (610) 의 제 1 측 간에 위치한다. 제 3 플레넘 볼륨 (606) 은 제 3 가스 유입구(들) (648) 로부터, 링 플레이트 (692) 아래에 위치한 환상 공간 및 이 환상 공간을 제 3 플레넘 볼륨 (606) 으로 연결하는 다양한 관통-홀들을 통해서 가스를 공급 받을 수 있다. 제 3 가스 ㅠ유입구 (648) 와 제 3 플레넘 볼륨 (606) 간의 유체 유동 연결부의 정확한 경로 및 구성은 도시된 바로부터 변할 수 있다. 복수의 제 3 홀들 (640) 이 제 3 플레넘 볼륨 (606) 을 내측 유동 통로(들) (674) 에 유체적으로 연결시킬 수 있다.
플레넘 분할기 (608) 에서와 같이, 플레넘 분할기 (608) 는 각각이 상이한 제 1 관통-홀 (636) 과 연관된 복수의 관형 구조체들 (632) 을 가질 수 있다. 각 관형 구조체는 대면플레이트 (610) 내에 위치한 제 2 관통-홀들 (638) 내로 돌출될 수 있다 (본 경우에서는 제 2 관통-홀을 통과하고 있음). 이 경우에, 제 2 관통-홀들 (638) 은 대면플레이트 (610) 의 하위컴포넌트인 것으로 간주될 수 있는 대면플레이트 커버 (694) 를 통과할 수 있다. 이 특정 실례에서, 대형 연속적 개방형 공간이 대면플레이트 (610) 의 제 2 측 (618) 과 대면플레이트 커버 (694) 간에 형성된다. 이 개방형 공간은 내측 유동 통로들 (674) 을 형성하며 관형 구조체들 (632) 가 이를 통과하고 있다. 도시된 바와 같이, 본 실례에서, 내측 유동 통로들 (674) 은 제 2 관통-홀들 (638) 을 통해서 제 2 플레넘 볼륨 (604) 및 웨이퍼 반응 구역 (미도시, 샤워헤드 (600) 아래에 위치함) 과 유체적으로 연통할 수 있다. 이 경우에, 제 2 플레넘 볼륨 (604) 내로 그리고 내측 유동 통로(들) (674) 내로 도입된 가스들은 샤워헤드 내에서 혼합될 것이다 (가스들이 동시에 유동한다고 가정할 때에임). 따라서, 제 2 플레넘 볼륨 (604) 및 내측 유동 통로(들) (674) 를 통과하도록 경로가 정해질 가스들이 샤워헤드 (600) 내에서 혼합될 때에 원하지 않은 반응 부산물들을 생성하지 않는 경우에 이러한 설계를 사용하는 것이 바람직할 것이다. 또한, 내측 유동 통로(들) (674) 로부터 제 2 플레넘 볼륨 (604) 으로의 가스의 역유동을 방지하기 위해서 내측 유동 통로(들) (674) 내의 압력보다 제 2 플레넘 볼륨 (604) 내의 압력을 높게 유지하는 것이 바람직할 수 있다.
샤워헤드 내에서의 가스 흐름을 시각화하는 것을 돕기 위해서, 몇몇 가스 흐름들의 전반적 방향들을 표시하는 화살표들이 도 6c에 부가되었다. 예를 들어서, 제 1 플레넘 볼륨 (602) 을 통해서 전달되는 제 1 프로세스 가스는 검정색으로 채워진 화살표들로 표시되며, 제 2 플레넘 볼륨 (604) 을 통해서 전달되는 제 2 프로세스 가스는 백색으로 채워진 화살표들로 표시되며, 제 3 플레넘 볼륨 (606) 을 통해서 전달되는 제 3 프로세스 가스는 회색으로 채워진 화살표들로 표시된다. 볼 수 있는 바와 같이, 검정색으로 채워진 화살표는 제 1 관통-홀 (636) 을 통한 유동을 표시하며, 백색 및 회색으로 채워진 화살표는 외측 표면 (634) 과 내측 표면 (642) 간의 환상 공간을 통한 유동을 표시한다.
도 6d는 상술한 바와 같은 샤워헤드 (600) 의 삼측 분해 부분도이다. 제 3 플레넘 공간 (606) 에 가스를 공급하는, 링 플레이트 (692) 아래의 환상 공간이 본 도면에서 선명하게 도시되어 있다.
도 7a는 삼중(tri)-플레넘, 이중(dual)-온도 샤워헤드의 다른 실례의 등측도이다. 도 7b는 도 7a의 삼중-플레넘, 이중-온도 샤워헤드의 실례의 부분 등측도이다. 도 7c는 파선 사각형 내에 포함된 도 7a의 부분의 상세한 도면이다. 도 7d는 도 7a로부터의 삼중-플레넘, 이동 온도 샤워헤드의 실례의 삼측 부분 분해도 (trimetric exploded section view) 이다.
도 7a 내지 도 7d에서, 샤워헤드 (700) 의 전반적 구조는 도 6a 내지 도 6d의 샤워헤드 (600) 의 전반적 구조와 유사하다. 이하에서 기술되는 도 7a 내지 도 7d의 특정 구조체, 요소들 및 특징부들을 제외하면, 도 6a 내지 도 6d의 구조체들, 요소들 및 특징부들과 동일한 마지막 두자리수를 갖는 참조부호들로 열거되는 도 7a 내지 도 7d의 구조체들, 요소들 또는 특징부들은 상술한 도 6a 내지 도 6d의 이러한 대응하는 구조체들, 요소들 또는 특징부들과 실질적으로 동일함이 이해되어져야 한다. 반복을 피하기 위해서, 독자들은 이러한 항목들에 대해서는 위의 설명을 참조하면 된다.
샤위헤드 (700) 와 샤워헤드 (600) 간의 일 차이점은 대면플레이트 (710) 및 대면플레이트 커버 (794) 가 제 3 플레넘 공간을 통해서 내측 유동 통로(들) (774) 내로 도입된 가스가 제 2 플레넘 볼륨 (704) 으로부터의 가스와 샤워헤드 (700) 내에서 혼합되는 것을 방지하도록 구성된다는 것이다.
이러한 세부사항들은 도 7c를 참조하여서 보다 선명하게 볼 수 있다. 볼 수 있는 바와 같이, 제 2 관통-홀들 (738) 은 대면플레이트 (710) 의 두께 전체 (대면플레이트 커버 (794) 의 두께도 포함함) 에 걸쳐서 연속하는 내측 표면들 (742) 을 갖는다 . 이로써, 내측 유동 통로(들) (774) 내에서 유동하는 가스는 제 2 관통-홀들 (738) 을 통해서 빠져나갈 수 없다. 대신에, 가스가 내측 유동 통로(들) (774) 를 통해서 웨이퍼 반응 구역으로 유동할 수 있게 별도의 제 4 홀들 (776) 이 제공된다.
샤워헤드 내에서의 가스 흐름을 시각화하는 것을 돕기 위해서, 몇몇 가스 흐름들의 전반적 방향들을 표시하는 화살표들이 도 7c에 부가되었다. 예를 들어서, 제 1 플레넘 볼륨 (702) 을 통해서 전달되는 제 1 프로세스 가스는 검정색으로 채워진 화살표들로 표시되며, 제 2 플레넘 볼륨 (704) 을 통해서 전달되는 제 2 프로세스 가스는 백색으로 채워진 화살표들로 표시되며, 제 3 플레넘 볼륨 (706) 을 통해서 전달되는 제 3 프로세스 가스는 회색으로 채워진 화살표들로 표시된다. 볼 수 있는 바와 같이, 검정색으로 채워진 화살표는 제 1 관통-홀 (736) 을 통한 유동을 표시하며, 백색으로 채워진 화살표는 외측 표면 (734) 과 내측 표면 (742) 간의 환상 공간을 통한 유동을 표시하며, 회색으로 채워진 화살표는 제 4 홀들 (776) 을 통한 유동을 표시한다.
상술한 실례들에서, 내측 유동 통로(들) 는 "접하는 (contiguous) 개방형 공간" 등으로서 기술되었음이 이해되어야 한다. 몇몇 구현예들에서, 내측 유동 통로들은 대면플레이트 내에서 (서로 교차하거나 교차하지 않을 수 있는) 개별 통로들의 망 (network) 에 의해서 제공될 수 있다. 이러한 통로들은 대면플레이트의 폭을 통해서 이러한 통로들을 형성하는 건-드릴링 (gun-drilling) 또는 이와 다른 방식에 의해서 제공되거나, 대면플레이트 내에서 이러한 통로들을 형성하도록 머시닝하거나 이와 달리 형성한 후에 형성된 통로들을 대면플레이트 커버로 커버함으로써 제공될 수 있다. 유사한 기법들이 냉각 통로들이 사용되는 경우에 대면플레이트 또는 플레넘 분할기 내에 이러한 냉각 통로들을 제공하기 위해서 채용될 수 있다.
일반적으로 말하자면, 특정 구현을 위해서 상술한 관형 구조체들과 제 2 관통-홀들의 내측 표면들 간의 갭들은 2 가지 제약을 받을 수 있다. 제 1 제약은 이 갭 크기, 즉 제 3 거리가 중공형 캐소드 방전을 지원하는 거리보다 대체적으로 작아야 한다는 것이다. 이러한 제약은 일반적으로 샤워헤드가 예를 들어서 샤워헤드 (400) 을 사용하여서 생성될 수 있는 것과 같은 플라즈마 분위기를 받을 때에만 적용되는데, 그 이유는 용량성 방전을 생성하는데 필요한 전계가 위와 같은 제약을 받지 않으면 샤워헤드 내에서 존재하지 않을 수 있기 때문이다. 이러한 갭 크기들은 대체적으로 약 0.1 인치보다 작을 수 있다. 제 2 제약 사항은 제 2 플레넘 볼륨 내의 가스에 대한 목표된 플로우 레이트를 확립하는데 필요한 만큼의 갭 크기가 되어야 한다는 것이며; 이 제약 사항은 프로세스에 강하게 특정될 수 있다. 예를 들어서, 갭 크기는, 샤워헤드 내에서 일 플레넘에서 다른 플레넘으로 역확산 또는 웨이퍼 반응 구역으로부터 일 플레넘으로의 역확산을 실질적으로 방지하도록 충분하게 높은 Peclet 수 (number) 를 제공하는 파라미터, 웨이퍼에 걸친 유동 균일성이 촉진되게 충분하게 높은 압력 강하를 제공하는 파라미터, 그리고 플라즈마 분위기들을 포함할 수 있는 임의의 프로세스 단계들 동안의 분사 효과들 (jetting effects) 을 방지 또는 완화시키는 파라미터에 기초하여서 결정될 수 있다.
몇몇 경우들에서, 제 2 관통-홀들은 자신들을 통과하는 대응하는 관형 구조체들을 가지지 않는 동일한 또는 상이한 직경을 갖는 추가적인 관통-홀들에 의해서 더 많아 질 수도 있다. 이러한 추가적인 관통-홀들은 제 2 플레넘 볼륨으로부터의 가스의 증가된 유동, 또는 많이 분포된 유동을 가능하게 할 수 있다. 도 5a 내지 도 7d에서 도시된 변형들에서, 각 제 1 관통-홀은 대략 0.04 인치의 직경을 가지며, 관형 구조체들의 외경들은 대략 0.08 인치이며, 제 2 관통-홀들의 내경은 대략 0.125 인치이며, 이로써 제 3 거리는 대략 0.0225 인치가 된다. 그러나, 이러한 치수들은 상이한 유동 조건들에 있어서 변할 수 있다.
본 명세서에서 개시된 샤워헤드들과 같은 샤워헤드들에 의해서 부여되는 이중-온도 역량은 몇몇 반도체 프로세스들에서 사용될 때에 제 1 플레넘 볼륨과 제 2 플레넘 볼륨 간에서 극적으로 변할 수 있는 열적 분위기들을 제공할 수 있다. 몇몇 반도체 프로세스들 동안에, 상당한 열적 에너지량이 웨이퍼 반응 구역 내에서 생성될 수 있으며 실제로 이러한 반응 구역의 일 경계를 형성하는 대면플레이트는 반도체 프로세싱 동안에 상당한 양의 열 에너지를 받을 수 있다. 통상적인 샤워헤드에서, 이러한 에너지는 대면플레이트로부터 이 대면플레이트와 열전도성으로 접촉하고 있는 다른 샤워헤드 컴포넌트들을 통해서 전달되게 된다. 이는 다른 샤워헤드 컴포넌트들의 온도가 상승하게 하고 대면플레이트와 샤워헤드의 나머지 컴포넌트들 간의 보다 큰 온도 구배가 발생하는 것을 막을 수 있다.
이에 반하여서, 본 명세서에서 개시된 바와 같은 샤워헤드들은 대면플레이트로부터 나머지 샤워헤드 컴포넌트들로 흐르는 열 에너지량을 크게 줄일 수 있다. 이는 2 가지 효과를 갖는다. 제 1 효과는 대면플레이트가, 정상적인 프로세스 조건들 하에서 열 유동을 크게 제약하지 않는 방식으로 샤워헤드의 다른 컴포넌트들과 열전도성으로 접촉하는 대면플레이트와 같은 통상적인 대면플레이트에 비해서 매우 보다 높은 온도로 유지될 수 있다는 것이다. 다른 효과는 통상적인 경우에서 샤워헤드의 나머지 컴포넌트들이 유지될 수 있는 온도보다 매우 낮은 온도로 샤워헤드의 나머지 컴포넌트들이 유지될 수 있다는 것이다.
예를 들어서, 몇몇 원자 층 증착 (ALD) 타입 프로세스에서, 웨이퍼 반응 구역은 500 ℃ 를 초과하거나 가까운 온도들을 경험할 수 있다. 이러한 ALD-타입 프로세스들에서 사용되는 본 명세서에서 기술되는 것들과 같은 이중-온도 다중-플레넘 샤워헤드는 정상 상태 프로세스 상태들 하에서 25 내지 30 ℃의 백플레이트 온도를 보이면서 (이로써 백플레이트는 인간이 손으로 접촉하기에 안전하게 됨) 대략 500 ℃의 대면플레이트 온도들을 보일 수 있다. 몇몇 구현예들에서, 백플레이트 온도는 70 ℃까지 이를 수 있다. 몇몇 다른 구현예들에서, 백플레이트는 150 내지 200 ℃ 온도에서 유지될 수 있다. 통상적으로, 대면플레이트의 온도는 웨이퍼를 지지하는 페데스탈의 온도 및 웨이퍼 반응 구역 내의 플라즈마 분위기와 같은 다른 인자들에 의해서 실현된다.
제 1 플레넘 볼륨과 제 2 플레넘 볼륨이 2 개의 잠재적으로 매우 상이한 온도들로 유지되게 함으로써, 본 명세서에서 기술된 샤워헤드들은 다양한 반도체 프로세싱 상황들에서 관심 사항일 수 있는 다양한 이점들을 제공할 수 있다. 예를 들어서, 본 명세서에서 기술된 것과 같은 플라즈마 돔-타입 샤워헤드 또는 외부의 원격 플라즈마 생성기와 접속된 본 명세서에서 기술되는 바와 같은 샤워헤드에 의해서 생성된 플라즈마는 반도체 웨이퍼에 걸쳐서 유동될 라디칼들을 생성할 수 있다. 이러한 라디칼들이 웨이퍼 반응 구역으로 이동할 시에, 샤워헤드 내의 다양한 표면들과 충돌하며, 이러한 충돌 각각으로 인해서 라디칼들이 표면과 충돌하여서 튕겨나오거나 라디칼들이 표면 상의 분자 또는 원자와 재결합할 수 있다. 재결합된 라디칼들은 궁극적으로 웨이퍼 반응 구역에 이르는 라디칼 비율을 떨어뜨린다. 그러나, 이러한 재결합 확률은 무엇보다도 라디칼 충돌이 발생하는 분위기의 온도에 적어도 부분적으로 의존하는데; 고온 분위기에서는, 라디칼 재결합 가능성이 높아지고, 저온 분위기에서는 라디칼 재결합 가능성이 낮아진다. 따라서, 제 1 플레넘 볼륨을 매우 낮은 온도로 유지하는 것은 원하지 않는 라디칼 재결합을 방지하는 역할을 할 수 있다.
저온 제 1 플레넘 볼륨의 다른 이점은 특정 반도체 프로세스들이 고온에서보다 저온에서 보다 느리게 반응할 수 있는 상호 반응하는 프리커서들을 사용할 수 있다는 것이다. 예를 들어서, 예시적인 ALD 프로세스에서, 막 증착 프로세스를 제공하도록 암모니아가 티타늄 종들과 함께 사용될 수 있다. 암모니아는 제 1 플레넘 볼륨 (저온으로 유지됨) 을 통해서 제공되며 티타늄 종들은 제 2 플레넘 볼륨 (고온으로 유지됨) 을 통해서 제공된다. 예기치 않게 몇몇 티타늄 종들은 예를 들어서 확산 또는 다른 메카니즘을 통해서 제 1 플레넘 볼륨 내로 표유하게 되면, 암모니아와 티타늄 종들의 반응 레이트는 저온으로 인해서 감소될 것이며, 샤워헤드 내에서 생성될 반응 부산물들의 양도 줄어들 수 있다.
이와 동시에, 제 2 플레넘 볼륨을 고온으로 유지하는 것 (또는 보다 구체적으로는 대면플레이트를 고온으로 유지하는 것) 은 제 2 플레넘 볼륨 내에서의 프로세스 가스의 원하지 않는 응축을 방지하는 것을 지원한다 (이러한 응축은 유출구 구멍을 막음으로써 불균일한 가스 분배를 낳거나, 액적들이 샤워헤드로부터 웨이퍼로 분사될 수 있게 할 수 있다). 대면플레이트를 상승된 온도에서 유지하는 다른 이점은 열적 사이클링 (thermal cycling) 으로 인한 대면플레이트로부터의 박리 현상이 제거 또는 감소될 수 있다는 것이다. 이는 웨이퍼의 입자 오염 위험을 줄일 수 있다.
본 명세서에서의 다양한 컴포넌트들에서 사용되는 재료들은 일반적으로 사용된 프로세스 분위기들과 화학적으로 양립할 수 있고 목표된 열적 특성, 강도 특성 및 전기적 특성을 보이는 합금들 또는 재료들과 같은, 반도체 프로세싱 장치에서 통상적으로 사용되는 재료들로부터 선택될 수 있다. 예를 들어서, 대면플레이트는 세라믹, 알루미늄 또는 다른 재료로부터 이루어질 수 있다. 대면플레이트가 세라믹으로부터 제조되는 경우에, 전극이 세라믹 내에 내장되어서, 제 1 플레넘 볼륨, 제 2 플레넘 볼륨 및/또는 제 3 플레넘 볼륨으로부터 웨이퍼 반응 구역으로 전달된 가스들을 사용하여서 웨이퍼 반응 구역 내에서 플라즈마를 생성하는 것을 실현할 수 있다.
상술된 샤워헤드들은 반도체 프로세싱 챔버 (또는 반응 챔버) 및/또는 툴 상황에서 또는 다중-스테이션 프로세싱 또는 반응 챔버 및/또는 툴의 다양한 스테이션들에서 구현될 수 있음이 이해되어야 한다. 이러한 샤워헤드들은 가스 공급 소스들/라인들, 유동 제어기들, 밸브들, 전원들, RF 생성기들, 압력, 온도 또는 플로우 레이트 측정 디바이스들과 같은 센서들, 등과 같은 장비의 다양한 다른 부품들과 연결될 수 있다. 이러한 챔버들 또는 툴들은 다양한 밸브들, 유동 제어기들, 샤워헤드를 사용하여서 목표된 반도체 프로세스를 제공하는 다른 장비를 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함할 수 있다. 인스트럭션들은 예를 들어서 본 개시에 따른 프로세스 동작들 동안에 제 1 플레넘 볼륨을 통한 제 1 프로세스 가스 및 제 2 플레넘 볼륨을 통한 제 2 프로세스 가스를 동시에 유동시키는 인스트럭션들을 포함할 수 있다. 시스템 제어기들은 통상적으로 본 개시에 따른 방법을 장치가 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함한다. 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독가능한 매체가 시스템 제어기에 접속될 수 있다.
본 명세서에서 상술한 장치 및/또는 프로세스는 예를 들어서 반도체 장치, 디스플레이, LED, 광전 패널 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴 또는 공정와 함께 사용될 수 있다. 통상적으로, 이러한 툴 또는 공정은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴을 사용하여서 실현되는 다음의 동작들 중 몇몇 또는 모두를 포함하며, 이 동작들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여서 웨이퍼와 같은 작업 대상에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 동작, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 동작, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용하여서 상기 레지스트 패턴을 그 아래의 막 또는 작업 대상에 전사하는 동작 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 탈피기 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 동작를 포함할 수 있다.
특정 기술된 구현예들 중 임의의 것에서의 특징들이 서로 양립하지 않는 것으로서 명시적으로 특정되지 않거나, 주변 문맥이 이들이 서로 배타적이며 서로 상호 보완적이거나/이고 지원하는 차원에서 용이하게 조합될 수 없다고 암시하지 않는 이상, 본 개시의 전체 내용은 이러한 상호보완적 구현예들의 특정 특징들은 하나 이상의 보다 포괄적이지만 근소하게 상이한 기술적 해법들을 제공하도록 선택적으로 조합될 수 있음을 고려 및 감안하고 있다. 따라서, 위의 설명은 오직 예시적으로 주어진 것이며 세부적인 면에서의 수정이 본 개시의 범위 내에서 가능함이 더 이해되어야 한다.

Claims (24)

  1. 반도체 프로세싱 장비에서 사용되는 샤워헤드로서,
    제 1 플레넘 볼륨 (plenum volume);
    제 2 플레넘 볼륨;
    대면플레이트; 및
    플레넘 분할기 (plenum divider) 를 포함하며,
    상기 플레넘 분할기는 제 1 측 및 상기 플레넘 분할기의 상기 제 1 측의 반대편의 제 2 측을 가지며,
    상기 대면플레이트는 제 1 측 및 상기 대면 플레이트의 상기 제 1 측의 반대편의 제 2 측을 가지며,
    상기 대면플레이트의 상기 제 1 측은 상기 플레넘 분할기의 상기 제 2 측과 마주보며 제 1 갭을 형성하도록 제 1 거리만큼 상기 플레넘 분할기의 상기 제 2 측으로부터 오프셋 (offset) 되며,
    상기 플레넘 분할기의 상기 제 1 측은 상기 제 1 플레넘 볼륨을 부분적으로 경계짓고 (bound),
    상기 제 2 플레넘 볼륨은 상기 제 1 갭 내에서 상기 플레넘 분할기와 상기 대면플레이트 간에서 위치하며,
    상기 플레넘 분할기는 상기 플레넘 분할기의 상기 제 2 측으로부터 제 2 거리만큼 돌출된 복수의 관형 구조체들 (tubular structures) 을 포함하며, 각 관형 구조체는 외측 표면, 및 상기 관형 구조체와 상기 플레넘 분할기를 통과하는 제 1 관통-홀 (through-hole) 을 가지며,
    상기 대면 플레이트는 복수의 제 2 관통-홀들을 가지며, 상기 제 2 관통-홀 각각은 상기 관형 구조체들 중 상이한 관형 구조체에 대응하며 상기 대응하는 관형 구조체의 상기 외측 표면으로부터 적어도 제 3 거리만큼 오프셋된 내측 표면을 가지며,
    상기 제 1 거리는 상기 제 2 거리보다 작으며,
    상기 대면플레이트는 상기 플레넘 분할기와 실질적으로 열전도적으로 격리되는 (conductively thermally isolated),
    샤워헤드.
  2. 제 1 항에 있어서,
    상기 대면플레이트 및 상기 플레넘 분할기는 상기 대면플레이트와 상기 플레넘 분할기 간의 0.05 W/(in2K) 이하의 열전도도를 갖도록 구성되는,
    샤워헤드.
  3. 제 1 항에 있어서,
    백플레이트 (backplate) 를 더 포함하며,
    상기 제 1 플레넘 볼륨은 상기 백플레이트에 의해서 부분적으로 경계가 정해지며,
    상기 백플레이트는 내측 냉각 통로들을 포함하며 능동적으로 냉각되도록 구성되는,
    샤워헤드.
  4. 제 1 항에 있어서,
    상기 플레넘 분할기는 냉각제를 상기 플레넘 분할기 내에서 순환시키도록 구성된 하나 이상의 내측 냉각 통로들을 포함하는,
    샤워헤드.
  5. 제 1 항에 있어서,
    무선 주파수 생성기와 접속되고 제 1 가스 유입구와 유체적으로 연결된 플라즈마 돔을 더 포함하며,
    상기 제 1 플레넘 볼륨은 상기 플라즈마 돔에 의해서 부분적으로 경계가 정해지며,
    상기 플라즈마 돔 및 상기 무선 주파수 생성기는 상기 제 1 가스 유입구로부터의 가스를 사용하여서 상기 제 1 플레넘 볼륨 내에 플라즈마를 생성하도록 구성되는,
    샤워헤드.
  6. 제 1 항에 있어서,
    상기 대면플레이트는 상기 복수의 제 2 관통-홀들에 추가하여 복수의 추가 제 2 관통-홀들을 가지며,
    상기 추가 제 2 관통-홀들은 대응하는 관형 구조체들을 가지지 않는,
    샤워헤드.
  7. 제 1 항에 있어서,
    상기 관형 구조체들은 실질적으로 원통형이며,
    상기 제 1 관통-홀들 및 상기 제 2 관통-홀들은 실질적으로 둥근형이며 (round),
    상기 제 2 관통-홀들 각각의 상기 내측 표면과 각 대응하는 관형 구조체의 상기 외측 표면 간의 오프셋은 상기 제 2 관통-홀과 상기 대응하는 관형 구조체 간의 환상 갭 영역 (annular gap region) 을 생성하는,
    샤워헤드.
  8. 제 1 항에 있어서,
    상기 제 3 거리는 약 0.1 인치보다 작은,
    샤워헤드.
  9. 제 1 항에 있어서,
    상기 제 3 거리는 약 0.005 인치 내지 0.020 인치인,
    샤워헤드.
  10. 제 1 항에 있어서,
    각 관형 구조체는 상기 대응하는 제 2 관통-홀에 근접한 상기 대면플레이트의 상기 제 2 측과 실질적으로 동일한 (even) 위치에서 종단되는,
    샤워헤드.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    제 1 가스 유입구; 및
    제 2 가스 유입구를 더 포함하며,
    상기 제 1 가스 유입구는 상기 제 1 플레넘 볼륨으로 가스를 공급하도록 구성되며,
    상기 제 2 가스 유입구는 상기 제 2 플레넘 볼륨으로 가스를 공급하도록 구성되며,
    상기 제 2 가스 유입구는 실질적으로 관형이며 상기 플레넘 분할기를 통과하며 상기 대면플레이트의 상기 제 1 측과 상기 플레넘 분할기의 상기 제 2 측 간에 걸쳐 있으며,
    상기 제 2 가스 유입구는 방사상 가스 분배 홀들의 원형 어레이를 가지며,
    각 방사상 가스 분배 홀은 상기 제 2 가스 유입구를 상기 제 2 플레넘 볼륨과 유체적으로 연결하는,
    샤워헤드.
  12. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    하나 이상의 저-접촉-면적 (low-contact-area:LCA) 특징부들 (features) ; 및
    내측 둘레를 갖는 제 1 저 열전도도 베리어 (low-thermal-conductance barrier) 를 더 포함하며,
    상기 하나 이상의 LCA 특징부들이 상기 플레넘 분할기에 대해서 상기 대면플레이트를 지지하며,
    상기 제 1 저 열전도도 베리어는 상기 제 1 갭에 걸쳐 있도록 상기 대면플레이트와 상기 플레넘 분할기 간에 개재되며,
    상기 제 1 저 열전도도 베리어는 상기 제 2 관통-홀들이 상기 제 1 저 열전도도 베리어의 상기 내측 둘레 내에서 존재하도록 위치하는,
    샤워헤드.
  13. 제 12 항에 있어서,
    상기 대면플레이트로부터 상기 샤워헤드의 다른 컴포넌트들로의 열전도 경로들 중 실질적으로 모두가 상기 하나 이상의 LCA 특징부들 및 상기 제 1 저 열전도도 베리어에 의해서 제공되는,
    샤워헤드.
  14. 제 12 항에 있어서,
    상기 하나 이상의 LCA 특징부들은 상기 제 1 저 열전도도 베리어 주위에서 이격된 복수의 포스트들 (posts) 에 의해서 제공되며,
    상기 포스트들은 상기 대면플레이트를 인장 상태에서 (in tension) 지지하도록 구성된,
    샤워헤드.
  15. 제 12 항에 있어서,
    적어도 제 4 거리만큼 상기 대면플레이트로부터 오프셋된 내측 칼라 표면 및 상기 대면플레이트보다 작은 내측 개구를 갖는 칼라 (collar) 를 더 포함하며,
    상기 하나 이상의 LCA 특징부들은 압축 상태에서 상기 대면플레이트의 상기 제 2 측을 지지하는 복수의 LCA 볼들에 의해서 제공되며,
    상기 LCA 볼들은 상기 내측 칼라 표면과 상기 대면플레이트 간에 위치하는,
    샤워헤드.
  16. 제 12 항에 있어서,
    내측 둘레를 갖는 제 2 저 열전도도 베리어 (barrier) 를 더 포함하며,
    상기 제 2 저 열전도도 베리어는 상기 제 1 갭에 걸쳐 있도록 상기 대면플레이트와 상기 플레넘 분할기 간에 개재되며,
    상기 제 1 저 열전도도 베리어는 상기 제 2 저 열전도도 베리어의 상기 내측 둘레 내에 위치하는,
    샤워헤드.
  17. 제 16 항에 있어서,
    상기 대면플레이트로부터 상기 샤워헤드의 다른 컴포넌트들로의 열전도 경로들 중 실질적으로 모두가 상기 하나 이상의 LCA 특징부들, 상기 제 1 저 열전도도 베리어 및 상기 제 2 저 열전도도 베리어에 의해서 제공되는,
    샤워헤드.
  18. 제 16 항에 있어서,
    제 3 플레넘 볼륨이 상기 대면플레이트의 상기 제 1 측, 상기 플레넘 분할기의 상기 제 2 측, 상기 제 1 저 열전도도 베리어 및 상기 제 2 저 열전도도 베리어에 의해서 적어도 부분적으로 구획되며,
    상기 대면플레이트는,
    복수의 내측 유동 통로들; 및
    상기 대면플레이트의 상기 제 1 측에서의 복수의 제 3 홀들을 포함하며,
    상기 제 3 홀들 각각은 상기 내측 유동 통로들 중 하나와 상기 대면플레이트의 상기 제 1 측 간에 걸쳐 있으며,
    상기 제 3 홀들 각각은 상기 제 1 저 열전도도 베리어와 상기 제 2 저 열전도도 베리어 간의 영역에서 상기 대면플레이트의 상기 제 1 측을 나가는 (exiting),
    샤워헤드.
  19. 제 18 항에 있어서,
    상기 제 1 플레넘 볼륨, 상기 제 2 플레넘 볼륨 및 상기 제 3 플레넘 볼륨은 모두가 개별 가스 유입구들로부터 공급되는,
    샤워헤드.
  20. 제 18 항에 있어서,
    상기 제 2 관통-홀들은 상기 내측 유동 통로들과 교차하며,
    이로써 상기 제 2 관통-홀들은 상기 대면플레이트 내의 상기 내측 유동 통로들과 유체적으로 연통하는,
    샤워헤드.
  21. 제 18 항에 있어서,
    상기 대면플레이트는 상기 대면플레이트의 상기 제 2 측에서의 복수의 제 4 홀들을 더 포함하며,
    상기 제 4 홀들 각각은 상기 내측 유동 통로들 중 하나와 상기 대면플레이트의 상기 제 2 측 간에 걸쳐 있는,
    샤워헤드.
  22. 제 21 항에 있어서,
    상기 제 4 홀들은 상기 대면플레이트 내의 상기 제 2 관통-홀들과 유체적으로 격리되는,
    샤워헤드.
  23. 반도체 프로세싱 장치로서,
    반응 챔버;
    웨이퍼 지지부; 및
    샤워헤드를 포함하며,
    상기 샤워헤드는,
    제 1 플레넘 볼륨 (plenum volume);
    제 2 플레넘 볼륨;
    대면플레이트; 및
    플레넘 분할기 (plenum divider) 를 포함하며,
    상기 플레넘 분할기는 제 1 측 및 상기 플레넘 분할기의 상기 제 1 측의 반대편의 제 2 측을 가지며,
    상기 대면플레이트는 제 1 측 및 상기 대면 플레이트의 상기 제 1 측의 반대편의 제 2 측을 가지며,
    상기 대면플레이트의 상기 제 1 측은 상기 플레넘 분할기의 상기 제 2 측과 마주보며 제 1 갭을 형성하도록 제 1 거리만큼 상기 플레넘 분할기의 상기 제 2 측으로부터 오프셋 (offset) 되며,
    상기 플레넘 분할기의 상기 제 1 측은 상기 제 1 플레넘 볼륨을 부분적으로 경계짓고,
    상기 제 2 플레넘 볼륨은 상기 제 1 갭 내에서 상기 플레넘 분할기와 상기 대면플레이트 간에서 위치하며,
    상기 플레넘 분할기는 상기 플레넘 분할기의 상기 제 2 측으로부터 제 2 거리만큼 돌출된 복수의 관형 구조체들 (tubular structures) 를 포함하며, 각 관형 구조체는 외측 표면, 및 상기 관형 구조체와 상기 플레넘 분할기를 통과하는 제 1 관통-홀 (through-hole) 을 가지며,
    상기 대면 플레이트는 복수의 제 2 관통-홀들을 가지며, 상기 제 2 관통-홀 각각은 상기 관형 구조체들 중 상이한 관형 구조체에 대응하며 상기 대응하는 관형 구조체의 상기 외측 표면으로부터 적어도 제 3 거리만큼 오프셋된 내측 표면을 가지며,
    상기 제 1 거리는 상기 제 2 거리보다 작으며,
    상기 대면플레이트는 상기 플레넘 분할기와 실질적으로 열전도적으로 격리되며 (conductively thermally isolated),
    상기 반도체 프로세싱 장치는 상기 샤워헤드의 상기 대면플레이트의 상기 제 2 측이 상기 웨이퍼 지지부를 마주보도록 구성되며,
    상기 웨이퍼 지지부는 상기 반응 챔버 내에서 반도체 웨이퍼를 지지하도록 구성된,
    반도체 프로세싱 장치.
  24. 반도체 프로세싱 툴로서,
    하나 이상의 반응 챔버들을 포함하며, 상기 하나 이상의 반응 챔버들은 웨이퍼 지지부 및 샤워헤드를 포함하며,
    상기 샤워헤드는,
    제 1 플레넘 볼륨 (plenum volume);
    제 2 플레넘 볼륨;
    대면플레이트; 및
    플레넘 분할기 (plenum divider) 를 포함하며,
    상기 플레넘 분할기는 제 1 측 및 상기 플레넘 분할기의 상기 제 1 측의 반대편의 제 2 측을 가지며,
    상기 대면플레이트는 제 1 측 및 상기 대면 플레이트의 상기 제 1 측의 반대편의 제 2 측을 가지며,
    상기 대면플레이트의 상기 제 1 측은 상기 플레넘 분할기의 상기 제 2 측과 마주보며 제 1 갭을 형성하도록 제 1 거리만큼 상기 플레넘 분할기의 상기 제 2 측으로부터 오프셋 (offset) 되며,
    상기 플레넘 분할기의 상기 제 1 측은 상기 제 1 플레넘 볼륨을 부분적으로 경계짓고,
    상기 제 2 플레넘 볼륨은 상기 제 1 갭 내에서 상기 플레넘 분할기와 상기 대면플레이트 간에서 위치하며,
    상기 플레넘 분할기는 상기 플레넘 분할기의 상기 제 2 측으로부터 제 2 거리만큼 돌출된 복수의 관형 구조체들 (tubular structures) 를 포함하며, 각 관형 구조체는 외측 표면 및 상기 관형 구조체와 상기 플레넘 분할기를 통과하는 제 1 관통-홀 (through-hole) 을 가지며,
    상기 대면 플레이트는 복수의 제 2 관통-홀들을 가지며, 상기 제 2 관통-홀 각각은 상기 관형 구조체들 중 상이한 관형 구조체에 대응하며 상기 대응하는 관형 구조체의 상기 외측 표면으로부터 적어도 제 3 거리만큼 오프셋된 내측 표면을 가지며,
    상기 제 1 거리는 상기 제 2 거리보다 작으며,
    상기 대면플레이트는 상기 플레넘 분할기와 실질적으로 열전도적으로 격리되며 (conductively thermally isolated),
    상기 반도체 프로세싱 툴은 상기 샤워헤드의 상기 대면플레이트의 상기 제 2 측이 상기 웨이퍼 지지부를 마주보도록 구성되며,
    상기 웨이퍼 지지부는 적어도 하나의 상기 반응 챔버 내에서 반도체 웨이퍼를 지지하도록 구성된,
    반도체 프로세싱 툴.
KR1020140083266A 2013-07-03 2014-07-03 다중―플레넘 이중―온도 샤워헤드 KR102126091B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/934,597 2013-07-03
US13/934,597 US9677176B2 (en) 2013-07-03 2013-07-03 Multi-plenum, dual-temperature showerhead

Publications (2)

Publication Number Publication Date
KR20150004769A true KR20150004769A (ko) 2015-01-13
KR102126091B1 KR102126091B1 (ko) 2020-06-24

Family

ID=52131953

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140083266A KR102126091B1 (ko) 2013-07-03 2014-07-03 다중―플레넘 이중―온도 샤워헤드

Country Status (6)

Country Link
US (1) US9677176B2 (ko)
JP (1) JP6355450B2 (ko)
KR (1) KR102126091B1 (ko)
CN (1) CN104278254B (ko)
SG (1) SG10201403736YA (ko)
TW (1) TWI643677B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190090352A (ko) * 2018-01-24 2019-08-01 어플라이드 머티어리얼스, 인코포레이티드 서멀 초크 및 냉각을 갖는 고온 페이스플레이트
KR20200015653A (ko) * 2012-08-31 2020-02-12 노벨러스 시스템즈, 인코포레이티드 인터리빙된 플래넘 서브-볼륨들을 갖는 듀얼-플래넘 샤워헤드
KR20200024364A (ko) * 2017-07-28 2020-03-06 램 리써치 코포레이션 모놀리식 (monolithic) 세라믹 가스 분배 플레이트
US11268192B2 (en) 2018-06-22 2022-03-08 Samsung Display Co, Ltd. Thin film processing apparatus and thin film processing method
KR20220146546A (ko) * 2020-04-03 2022-11-01 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 반도체 디바이스 중의 가스 분배기 및 반도체 디바이스

Families Citing this family (453)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR101843609B1 (ko) 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102587615B1 (ko) * 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
FR3061914B1 (fr) * 2017-01-16 2019-05-31 Kobus Sas Chambre de traitement pour un reacteur de depot chimique en phase vapeur (cvd) et procede de thermalisation mis en œuvre dans cette chambre
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN107326341B (zh) * 2017-07-14 2019-10-25 君泰创新(北京)科技有限公司 Lpcvd工艺腔匀气装置
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
FI129700B (en) 2017-10-18 2022-07-15 Beneq Oy Nozzle head
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN111433902A (zh) 2017-12-08 2020-07-17 朗姆研究公司 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
KR20200091491A (ko) * 2017-12-20 2020-07-30 램 리써치 코포레이션 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US20190309419A1 (en) * 2018-04-06 2019-10-10 Applied Materials, Inc. High temperature gas distribution assembly
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
FI128427B (en) 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10900124B2 (en) 2018-06-12 2021-01-26 Lam Research Corporation Substrate processing chamber with showerhead having cooled faceplate
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11535936B2 (en) 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11970775B2 (en) 2018-08-10 2024-04-30 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11834743B2 (en) 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
GB201819454D0 (en) * 2018-11-29 2019-01-16 Johnson Matthey Plc Apparatus and method for coating substrates with washcoats
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN113383109A (zh) * 2019-02-01 2021-09-10 朗姆研究公司 用于具有多个充气部及气体分配室的沉积工具的喷头
US10946395B2 (en) * 2019-02-06 2021-03-16 Kevin J. Medeiros Shower head
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN113396240A (zh) * 2019-03-11 2021-09-14 应用材料公司 用于基板处理腔室的盖组件设备及方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
KR102170451B1 (ko) * 2020-01-22 2020-10-28 (주)이큐테크플러스 프리커서와 반응가스를 함께 분사하는 라디컬 유닛 및 이를 포함하는 ald장치
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
DE102020103946A1 (de) * 2020-02-14 2021-08-19 AIXTRON Ltd. Gaseinlasseinrichtung für einen CVD-Reaktor
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11810764B2 (en) * 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113804046B (zh) * 2020-06-15 2023-10-13 拓荆科技股份有限公司 一种低成本的主动控温喷淋头
US11242600B2 (en) 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
WO2022114583A1 (ko) 2020-11-24 2022-06-02 한국전자기술연구원 샤워 헤드 및 그를 포함하는 박막 증착 장치
KR20220071849A (ko) 2020-11-24 2022-05-31 한국전자기술연구원 샤워 헤드 및 그를 포함하는 박막 증착 장치
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112827678B (zh) * 2020-12-31 2022-05-13 拓荆科技股份有限公司 一种喷淋头用加热环
JP7114763B1 (ja) 2021-02-15 2022-08-08 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
CN113265648B (zh) * 2021-04-01 2022-09-16 湖南卓荣金属材料科技有限公司 一种一体式粉末气相沉积喷涂装置
CN115138491A (zh) * 2021-04-02 2022-10-04 厦门松霖科技股份有限公司 关水的方法和出水装置
CN113249687B (zh) * 2021-04-14 2022-09-20 拓荆科技股份有限公司 一种真空内电加热喷淋头结构
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113249786B (zh) * 2021-05-11 2022-12-09 北京北方华创微电子装备有限公司 进气结构和半导体工艺设备
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
DE102021114868A1 (de) 2021-06-09 2022-12-15 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023048726A (ja) 2021-09-28 2023-04-07 東京エレクトロン株式会社 基板処理を行う装置、ガスシャワーヘッド、及び基板処理を行う方法
US20230294116A1 (en) * 2022-03-21 2023-09-21 Applied Materials, Inc. Dual channel showerhead assembly
WO2024091420A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Showerhead with three plenums

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090266911A1 (en) * 2008-04-24 2009-10-29 Samsung Electro-Mechanics Co., Ltd. Showerhead for chemical vapor deposition and chemical vapor deposition apparatus having the same

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
ATE181637T1 (de) * 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3953247B2 (ja) * 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
DE10024883A1 (de) * 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP5519105B2 (ja) * 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP4997842B2 (ja) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
JP4844167B2 (ja) * 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5026373B2 (ja) * 2008-09-04 2012-09-12 シャープ株式会社 気相成長装置及び気相成長方法
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
JP4576466B2 (ja) * 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
KR101062462B1 (ko) * 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8910644B2 (en) * 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
TWI527090B (zh) * 2010-07-12 2016-03-21 愛發科股份有限公司 成膜裝置
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US20120108072A1 (en) * 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR101843609B1 (ko) 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
JP5792563B2 (ja) * 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090266911A1 (en) * 2008-04-24 2009-10-29 Samsung Electro-Mechanics Co., Ltd. Showerhead for chemical vapor deposition and chemical vapor deposition apparatus having the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200015653A (ko) * 2012-08-31 2020-02-12 노벨러스 시스템즈, 인코포레이티드 인터리빙된 플래넘 서브-볼륨들을 갖는 듀얼-플래넘 샤워헤드
KR20200024364A (ko) * 2017-07-28 2020-03-06 램 리써치 코포레이션 모놀리식 (monolithic) 세라믹 가스 분배 플레이트
KR20190090352A (ko) * 2018-01-24 2019-08-01 어플라이드 머티어리얼스, 인코포레이티드 서멀 초크 및 냉각을 갖는 고온 페이스플레이트
US11268192B2 (en) 2018-06-22 2022-03-08 Samsung Display Co, Ltd. Thin film processing apparatus and thin film processing method
KR20220146546A (ko) * 2020-04-03 2022-11-01 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 반도체 디바이스 중의 가스 분배기 및 반도체 디바이스

Also Published As

Publication number Publication date
US9677176B2 (en) 2017-06-13
CN104278254B (zh) 2017-04-12
KR102126091B1 (ko) 2020-06-24
JP2015015466A (ja) 2015-01-22
CN104278254A (zh) 2015-01-14
US20150007770A1 (en) 2015-01-08
JP6355450B2 (ja) 2018-07-11
SG10201403736YA (en) 2015-02-27
TWI643677B (zh) 2018-12-11
TW201526992A (zh) 2015-07-16

Similar Documents

Publication Publication Date Title
KR20150004769A (ko) 다중―플레넘 이중―온도 샤워헤드
TWI626685B (zh) 具有溫控之多充氣部噴淋頭
CN110998816B (zh) 整体式陶瓷气体分配板
US10879053B2 (en) Temperature controlled substrate support assembly
US8869742B2 (en) Plasma processing chamber with dual axial gas injection and exhaust
US7361228B2 (en) Showerheads for providing a gas to a substrate and apparatus
JP2014220231A5 (ko)
CN103993293B (zh) 带温度控制的多室喷头
JP2020145471A (ja) 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ
JP2015015466A5 (ko)
KR20150013085A (ko) 개선된 플루오르 활용 및 통합된 대칭적 전방선을 위한 페데스탈 하단 세정
KR20160136238A (ko) 열 제어된 대면 플레이트를 가진 내부식성 가스 분배 매니폴드
WO2016171867A1 (en) Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
WO2008109504A2 (en) Processing system and method for performing high throughput non-plasma processing
CN110914954B (zh) 斜面蚀刻轮廓控制
JP2014518452A (ja) 気相成長システム用のプロセスガスディフューザ組立体
US20060023395A1 (en) Systems and methods for temperature control of semiconductor wafers
CN102105312A (zh) 用于化学处置和热处置的高产量处理系统及操作方法
KR20230088467A (ko) 열적 균일 증착 스테이션
CA2220546A1 (en) Plasma etch system
JP7349832B2 (ja) セラミックハイブリッド絶縁プレート
WO2023220302A1 (en) Multi-zone gas distribution for asymmetric wafer bow compensation

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant