JP2020145471A - 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ - Google Patents

高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ Download PDF

Info

Publication number
JP2020145471A
JP2020145471A JP2020091943A JP2020091943A JP2020145471A JP 2020145471 A JP2020145471 A JP 2020145471A JP 2020091943 A JP2020091943 A JP 2020091943A JP 2020091943 A JP2020091943 A JP 2020091943A JP 2020145471 A JP2020145471 A JP 2020145471A
Authority
JP
Japan
Prior art keywords
gas distribution
plate assembly
distribution plate
base plate
assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020091943A
Other languages
English (en)
Inventor
ジェイムズ ディー カルドゥッチ
James D Carducci
ジェイムズ ディー カルドゥッチ
ケネス エス コリンズ
S Collins Kenneth
ケネス エス コリンズ
カーティク ラマスワミー
Ramaswamy Kartik
カーティク ラマスワミー
マイケル アール ライス
R Rice Michael
マイケル アール ライス
リチャード チャールズ フォベル
Charles Fovell Richard
リチャード チャールズ フォベル
ビジャイ ディー パルキー
D Parkhe Vijay
ビジャイ ディー パルキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020145471A publication Critical patent/JP2020145471A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】ガス分配プレートアセンブリの温度をより正確に制御する半導体処理装置を提供する。【解決手段】処理チャンバにおいて、ガス分配プレートアセンブリ200は、金属材料で形勢された本体142と、本体142に結合されたシリコン浸透金属マトリックス複合体を含むベースプレート210と、接着層144によりベースプレート210に結合されたシリコンウエハを含む有孔フェイスプレート125とを備える。【選択図】図2

Description

背景
(分野)
本開示の実施形態は、一般に、半導体処理装置に関する。より詳細には、本開示の実施形態は、プラズマプロセスで用いることができるガス分配プレートアセンブリに関する。
(関連技術の説明)
集積回路は、単一チップ上に何百万個のコンポーネント(例えば、トランジスタ、コンデンサ、抵抗器等)を含むことができる複雑なデバイスに発展してきた。チップ設計の進化は、回路の高速化及びより大きな回路密度を必要とし、より大きな回路密度に対する要求は、集積回路コンポーネントの寸法の低減を必要とする。そのようなデバイスのフィーチャの最小寸法は、この技術分野で、一般に、クリティカルディメンションと呼ばれている。クリティカルディメンションは、一般に、回路構造(例えば、ライン、ライン間のスペース、カラム、開口部等)のフィーチャの最小幅を含む。
これらのクリティカルディメンションが縮小するにつれて、高い収率を維持するために、基板に亘るプロセスの均一性が重要となる。集積回路の製造に使用される従来のプラズマエッチングプロセスに関連する1つの問題は、基板に亘るエッチング速度の不均一性であり、これは、部分的に、エッチングプロセスで利用されるコンポーネント(例えば、ガス分配プレートアセンブリ)の温度変化に起因する。この不均一性は、性能に著しく影響を及ぼし、集積回路の製造コストを増加させる可能性がある。
ガス分配プレートアセンブリの温度をより正確に制御するために、新しい装置及び方法が必要とされている。
概要
ガス分配プレートアセンブリが一実施形態で提供され、金属材料で形成された本体と、本体に結合されたシリコン浸透金属マトリックス複合体を含むベースプレートと、接着層によりベースプレートに結合されたシリコンディスクを含む有孔フェイスプレートとを含む。
ガス分配プレートアセンブリは、本体と、本体に結合されたシリコンディスクを含む有孔フェイスプレートと、本体と有孔フェイスプレートとの間に配置された接着層を含む。
別の実施形態では、ガス分配プレートアセンブリを含む処理チャンバが提供される。ガス分配プレートアセンブリは、金属マトリックス複合体を含むベースプレートと、接着層によりベースプレートに結合されるシリコンディスクを含む有孔フェイスプレートを含む。また、処理チャンバは、ガス分配プレートアセンブリに結合された温度制御システムを含む。
本開示の上述された構成が詳細に理解することができるように、上で簡潔に要約された本開示のより詳細な説明は、実施形態を参照することによって行うことができ、その幾つかは添付図面に示されている。しかしながら、添付図面は、本開示の典型的な実施形態のみを示しており、従って、本開示は他の同様に有効な実施形態を含み得るので、その範囲を限定すると解釈されないことに留意すべきである。
例示的な処理チャンバを示す部分断面図である。 図1の処理チャンバ内で用いることができるガス分配プレートアセンブリの他の実施形態の概略断面図である。 図1のガス分配プレートアセンブリ、又は図2のガス分配プレートアセンブリと共に用いることができる温度制御システムの断面図である。 ガス分配プレートアセンブリの一部分の断面図である。 一実施形態による抵抗ヒータの等角図である。 内部に形成された複数のチャネルを有するプレート部材の等角図である。 図1のガス分配プレートアセンブリ、又は図2のガス分配プレートアセンブリと共に用いることができる再帰的流体システムの一実施形態の等角図である。 図5Aの再帰的流体システムの平面図である。 図3の蓋アセンブリの一部としてプレート部材に結合された再帰的流体システムの等角図である。 ベースプレートに形成された分岐コンジットの実施形態を示すガス分配プレートアセンブリの一部の概略断面図である。 図6Aのベースプレートの等角上面図である。 一実施形態によるプロセスガス分配システムの平面図である。 図1のガス分配プレートアセンブリ、又は図2のガス分配プレートアセンブリとして用いることができるガス分配プレートアセンブリの一部の等角分解図である。 図1のガス分配プレートアセンブリ、又は図2のガス分配プレートアセンブリとして用いることができるガス分配プレートアセンブリの他の実施形態の分解断面図である。
理解を容易にするために、可能な場合には、図面に共通の同一の要素を示すために同一の参照番号を使用している。一実施形態で開示される要素は、特定の記載なしに、他の実施形態で有益に利用することができると意図される。
詳細な説明
本開示の実施形態は、任意の数の基板処理技術のための処理チャンバ内で用いることが可能なガス分配プレートアセンブリを提供する。ガス分配プレートアセンブリは、真空を破ることなく基板表面の加熱と冷却の両方を必要とするプラズマアシストドライエッチングプロセスを実行するのに特に有用である。ガス分配プレートアセンブリは、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なエッチングチャンバで用いることができるが、他のタイプのプラズマプロセスを実行するチャンバ、及び他の製造業者から入手可能なチャンバでの使用にも適している。
図1は例示的な処理チャンバ100を示す部分断面図である。一実施形態では、処理チャンバ100は、チャンバ本体105、ガス分配プレートアセンブリ110、及び支持アセンブリ115を含む。処理チャンバ100のチャンバ本体105は、1以上のプロセス適合性材料(例えば、アルミニウム、陽極酸化アルミニウム、ニッケルメッキされたアルミニウム、ニッケルメッキされたアルミニウム6061−6、ステンレス鋼、並びにこれらの組み合わせ及び合金)で形成することができる。支持アセンブリ115は、ガス分配プレートアセンブリ110と共に電極として機能することができ、これによって、有孔フェイスプレート125と支持アセンブリ115の上面130との間に画定された処理空間120内にプラズマを形成することができる。支持アセンブリ115は、真空チャック、静電チャック、又はアルミニウム等の伝導性材料で形成することができる他のタイプの基板支持体であってもよい。代替的に、支持アセンブリ115は、セラミック材料で形成してもよく、静電チャック、プラズマのバイアス、又は他の用途のための埋込電極135を含むことができる。また、チャンバ本体105は、ポンプ及びバルブを含む真空システム136に結合することができる。また、ライナ138を、処理空間120内のチャンバ本体105の表面上に配置してもよい。
チャンバ本体105は、その側壁に形成されたポート140を含む。ポート140は選択的に開閉され、これによって、基板ハンドリングロボット(図示せず)によるチャンバ本体105の内部へのアクセスを可能にする。基板(図示せず)は、ポート140を介して、処理チャンバ100に搬入、搬出され、隣接する搬送チャンバ、及び/又はロードロックチャンバ、又はクラスタツール内の別のチャンバに搬送されることができる。支持アセンブリ115は、チャンバ本体105に対して移動可能であってもよい。基板(図示せず)を、処理のため、支持アセンブリ115の上面130に配置することができる。支持アセンブリ115は、基板搬送のため、ポート140に隣接する位置にあってもよい。また、支持アセンブリ115は、処理のため、有孔フェイスプレート125に近接した位置に移動することができる。また、支持アセンブリ115は、チャンバ本体105に対して回転可能であってもよい。基板を支持アセンブリ115の上面130から離間させるためにリフトピン(図示せず)を用いることができ、これによって、基板搬送の間に基板ハンドリングロボットによる交換が可能なる。
ガス分配プレートアセンブリ110は、本体142を含む。本体142は、接着層144によって有孔フェイスプレート125に結合される。幾つかの実施形態では、接着層144は有機接着剤であってもよい。この実施形態では、本体142は、内部に形成された熱制御コンジット150A及び150Bを含む1以上のプレート部材146、148を含む。プレート部材146、148は、アルミニウムのような伝導性材料で形成することができ、ファスナ152によって互いに結合され、これによって、プレート部材146及び148の間の金属間接触が提供される。本体142と有孔フェイスプレート125は、ファスナ154によってチャンバ本体105に結合されることができる。エラストマーO−リングのようなシール156を、本体142とチャンバ本体105との間に配置し、処理空間120をシールし、本体142をチャンバ本体105から電気的に絶縁することができる。高周波(RF)電源158を本体142又は有孔フェイスプレートの一方又は両方に接続することができ、これによって、ガス分配プレートアセンブリ110を支持アセンブリ115に対して電気的にバイアスすることができる。
有孔フェイスプレート125は、複数のガスコンジット162に結合された複数の孔部160を有し、処理容積120にガスを提供する。この実施形態では、ガスコンジット162は、プレート部材146、148を貫通して、接着層144に形成された分配チャンネルまで形成される。有孔フェイスプレート125は、集積回路製造で用いられるシリコン(例えば、電子デバイス製造で用いられるシリコンディスク、又はシリコンウエハ)で形成することができる。有孔フェイスプレート125はいかなるサイズでもよく、いかなる適切な表面積を含むことができるが、一実施形態では、有孔フェイスプレート125は450mm(直径)シリコンウエハである。有孔フェイスプレート125のシリコン材料は、改善された伝導、又は誘電特性を提供するために、ドープされていてもよく、ドープされていなくてもよい。
孔部160は、エッチング又はレーザ穿孔のような適切な穴形成技術を使用して形成することができる。一実施形態では、孔部160は、スルーシリコンビア(TSV)プロセスによって形成される。一実施形態では、各々の孔部の直径は、約50ミクロン(μm)〜約64μmであってもよい。孔部160は、有孔フェイスプレート125の表面領域に対して多数であってもよく(即ち、緻密)、これによって、フローコンダクタンスを最大にし、及び/又は、分配チャネル164内の圧力を最小化する。孔部160のサイズ及び孔部160の密度のうちの1つは、分配チャネル164又は本体142の他の部分にプラズマが照射される可能性を低減する。シールド165を、ライナ138と有孔フェイスプレート125の間に配置することができる。シールド165は、石英、又は他のプロセス耐性材料を含む。
有孔フェイスプレート125にシリコンウエハを利用することは、ガス分配プレートアセンブリ110の交換可能な消耗要素を提供する。例えば、プラズマは時間の経過と共に有孔フェイスプレート125の表面を侵食する可能性がある。浸食されると、有孔フェイスプレート125は本体142から切り離され、新しい有孔フェイスプレート125を結合することができる。従って、ガス分配アセンブリ110全体の交換と比較して、有孔フェイスプレート125は、必要な場合、はるかに低コストで他と交換することができるので、標準サイズのシリコンウエハの使用は所有コストを低減する。
幾つかの実施形態では、熱制御コンジット150A及び150Bは、温度制御システム166に動作可能に結合される。温度制御システム166は、システムコントローラ168と温度コントローラ170を含む。温度コントローラ170は、熱制御コンジット150Bに結合されるヒータリード172に結合される。幾つかの実施形態では、熱制御コンジット150Bは、抵抗ヒータ173を含むことができる。また、温度コントローラ170は、配管174によって熱制御コンジット150Aに結合される。配管174及び熱制御コンジット150Aは、内部に熱伝達流体等の冷却材を流すように適合することができる。また、温度制御システム166は、温度コントローラ170と通信する温度センサ176を含むことができる。温度センサ176は本体142内に配置され、ガス分配プレートアセンブリ110の本体142の温度をモニタすることができる。各々の温度センサ176は、ガス分配プレートアセンブリ110の温度のメトリックを温度コントローラ170に提供する熱電対、又は他のデバイスであってもよい。幾つかの実施形態では、チャンバ本体105は、温度コントローラ170に結合された温度制御コンジット178を含むことができる。温度センサ176はチャンバ本体105の温度をモニタし、温度のメトリックを温度コントローラ170に提供するために用いることができる。温度コントローラ170は、抵抗ヒータ173への電力を制御し、熱制御コンジット150A(及び利用されている温度制御コンジット178)への流体のフローを制御するサーボコントローラを含むことができる。
動作中、ガス分配プレートアセンブリ110の設定点温度は、システムコントローラ168により、温度コントローラ170に提供することができる。温度センサ176からのフィードバックに基づいて、温度コントローラ170は、加熱(例えば、抵抗ヒータを介して)、又は冷却(例えば、熱制御コンジット150Aを介して)を提供し、ガス分配プレートアセンブリ110に設定点温度を提供及び/又は維持することができる。従って、温度制御システム166により、閉ループ温度制御が提供される。
動作の一例では、温度制御システム166は、2つのモードの温度制御を提供する。第1のモードは、プラズマがRF電源158によって誘導されるときに提供される(「プラズマオン」)。プラズマオン状態にあるとき、ガス分配プレートアセンブリ110への主な熱負荷は、処理容積120内のプラズマによって生成される熱からである。残りの熱負荷は、ガス分配プレートアセンブリ内の抵抗ヒータ173によって提供される。プラズマオンの間、第1のモードにおいて、抵抗ヒータ173に印加される電力は、温度設定点制御を維持するために約10%〜約20%の電力である。第2のモードでは、プラズマが点火されると(「プラズマオフ」)、温度設定値を維持するためにヒータ電力が約80%〜約90%まで増加される。追加の温度制御のために、温度制御システム166は、熱制御コンジット150Aの低流量モード及び高流量モードを含むことができる。プラズマオフ条件のための低流量は、低いヒータ電力が温度設定点を維持するのを可能にし、プラズマオンのための高流量は、プラズマの熱からガス分配プレートアセンブリ110の温度を低下させることができる。温度コントローラ170は、流量制御バルブと比例―積分―微分コントローラ(PID)コントローラを、温度設定点とガス分配プレートアセンブリ110内の温度センサ176からの表示と共に、閉鎖ループ内で用いることができる。冷却材流量とヒータ電力はサーボ制御され、温度設定点を維持することができる。
温度制御システム166の実施形態は、プラズマオン状態とプラズマオフ状態の間のサイクル中に、ガス分配プレートアセンブリ110の均一な温度を提供するために用いることができる。幾つかの従来のチャンバでは、ガス分配プレートと処理容積の界面で約30℃の温度デルタが存在することがある。温度コントローラ170の第1及び第2のモードは、プラズマオン状態及びプラズマオフ状態の両方において、設定点温度を維持するために用いることができる。設定温度の維持により、基板処理及び基板から基板への処理において、より安定した結果が得られる。このようにして、ガス分配プレートアセンブリ110の温度制御及び処理温度が確実に制御される。本明細書で説明されるガス分配プレートアセンブリ110の実施形態は、高出力誘電体エッチングプロセス(例えば、約20キロワットの総RF電力)において確実に用いることができる。本明細書に記載の温度制御システム166の実施形態は、約120℃〜約160℃の設定点温度を維持するために用いることができる。
図2は、図1の処理チャンバ100において用いることができるガス分配プレートアセンブリ200の他の実施形態の概略断面図である。ガス分配プレートアセンブリ200は、複数の温度センサ(例えば、外側ゾーン温度センサ205Aと内側ゾーン温度センサ205B)を含む。ガス分配プレートアセンブリ200は、以下の点を除いて、図1に示されるガス分配プレートアセンブリ110と同様である。ガス分配プレートアセンブリ200の本体142は、一体型(ワンピース)部材であるが、図1に示されるように2枚のプレートであってもよい。他の相違点は、本体142と有孔フェイスプレート125との間に結合されたベースプレート210を含む。
この実施形態におけるベースプレート210は、シリコン浸透金属マトリクス複合体で形成することができる。ベースプレート210の材料の例は、炭化ケイ素(SiC)を主成分し、シリコン(Si)を種々の量で含む反応接合セラミックス(SiSiC)を含む。ベースプレート210のSi含有量(体積%)は約20〜約30であり、残りはSiCである。材料の例は、コネチカット州ニュートンのM Cubed Technologies Inc.から入手可能なGrade SSC−702、Grade SSC−802、Grade SSC−903を含む。
ベースプレート210は、ファスナ152により本体142に直接固定され、それらの間の良好な熱接触を保証することができる。代替的な実施形態では、熱伝導率に応じて、本体142とベースプレート210の間に熱ガスケット212を配置することができる。この実施形態では、ベースプレート210に有孔フェイスプレート125を固定する接着層144は、拡散接合であってもよい。ガス分配プレートアセンブリ200の温度を制御するために、ベースプレート210と有孔フェイスプレート125は同様の熱伝導率を有することができ、これによって、本体142と有孔フェイスプレート125の間の熱コミュニケーションを提供することができる。
ガスコンジット162は、本体142を貫通して形成され、その少なくとも一部には分岐コンジット214を含むことができる。分岐コンジット214は、ガスをベースプレート210を介して分配チャネル164及び/又は有孔フェイスプレートの孔部160に配送するのに役立つ。分配チャネル164、及び分岐コンジット214は、ベースプレート210内のガスコンジット162の延長部と同様に、焼結前のベースプレート210のグリーンボディ内に形成されてもよい。例えば、任意の溝又は穴は、ベースプレート210のグリーンボディに機械加工又は他の方法で形成され、焼結後に後に焼失される炭素で充填されてもよい。代替的に、分配チャネル164、及び分岐コンジット214は、ベースプレート210内のガスコンジット162の延長部と同様に、ベースプレート210の焼結後に機械加工によって形成することができる。Oリングのようなシール216を用いて、ベースプレート210と本体142との界面におけるガス漏れを防止することができる。
この実施形態では、ガス分配プレートアセンブリ200は、流体処理装置215を含む温度制御システム166に結合される。流体処理装置215は、熱制御コンジット150Aに提供される流体の温度を制御する熱交換器又は冷却器であってもよい。流体処理装置215は、配管174によって熱制御コンジット150Aに結合することができる。幾つかの実施形態では、温度制御システム166は、ガス分配プレートアセンブリ200(及び図1に示される処理チャンバ100の他の部分)に供給される温度制御された水を有する水ファシリティインターフェイスボックス220を含む。本実施形態(及び図1の実施形態)の配管174は再帰的である。再帰的とは、冷却材ライン(即ち、配管174)の均等な分割と定義することができ、例えば、1本のコンジットから2本のコンジット、2本のコンジットから4本のコンジット、4本のコンジットから8本のコンジット、8本のコンジットから16本のコンジット等である。更に、分割された各々の脚は、同じ長さ、水圧直径及び形状であり、従って、各々の脚は同じフローコンダクタンスを有する。再帰的コンジットは、有孔プレート125の均一な温度を提供し、及び冷却象限(一実施形態では、4つの冷却領域)において同一のフローレートを提供する
図3は、図1のガス分配プレートアセンブリ110、又は図2のガス分配プレートアセンブリ200と共に用いることができる温度制御システム166の断面図である。温度制御システム166は、ガス分配プレートアセンブリ110、又は図2のガス分配プレートアセンブリ200のいずれかと共に、図1の処理チャンバ100に結合することができる蓋アセンブリ300の一部であってもよい。
蓋アセンブリ300は、配管174に結合された複数の再帰的冷却材チャネル310を含む冷却材プレート305を含む。配管174は、熱制御コンジット150Aを含むプレート部材148に結合される。また、蓋アセンブリ300は、複数のガスライン320を含むプロセスガス分配システム315を含む。ガスライン320の一部は、プロセスガスを有孔フェイスプレート125内の孔部160に流すために、プレート部材148及びガスコンジット162に結合される。
一実施形態では、蓋アセンブリ300は、中央又は第1マグネット330及び周辺又は第2マグネット335の一方又は両方を含むマグネットアセンブリ325を含む。マグネットアセンブリ325は、チャンバの中央にピークフィールドがあるB-フィールドを形成するカプスタイプマグネットシステムであってもよい。マグネットアセンブリ325は、エッチング速度を調整するために用いることができる。例えば、内側コイル電流と外側コイル電流との比を調整に用いることができる。約162MHzのRF周波数は中心ピークプラズマ(チャンバの中心で最高のプラズマ密度)となる傾向があり、マグネットを用いてプラズマを外側に押し出し、中心ピークプラズマを減少させることができる。幾つかの実施形態では、より低いRF周波数(例えば、約60MHz)は、プラズマを調整するためにマグネットを必要としないかもしれない。
図4A及び図4Bは、図1のガス分配プレートアセンブリ110、又は図2のガス分配プレートアセンブリ200に用いることができる抵抗ヒータ173の様々な図である。図4Aはガス分配プレートアセンブリ400の一部の断面図であり、図4Bは一実施形態による抵抗ヒータ173の等角図である。
抵抗ヒータ173はプレート部材146内に配置されてもよく、図4Aに示されるように内側ゾーン及び外側ゾーンを含むことができる。電気リード402は、電源(図示せず)への接続のためにプレート部材148を貫通して又はその周囲に延在することができる。
図4Bに示されるように、抵抗ヒータ173は、外側ゾーンのための第1ヒータ要素405と、内側ゾーンのための第2ヒータ要素410を含む。電気リード415は第1ヒータ要素405を電源に結合するために使用され、電気リード420は第2ヒータ要素410を電源に結合するために用いることができる。
図4Cはプレート部材146の等角図であり、アルミニウムプレートとすることができ、内部に形成された複数のチャネル425を有する。チャネル425は、図4Bに示される抵抗ヒータ173の第1ヒータ要素405及び第2ヒータ要素410を受け入れる大きさである。また、図1及び図2に示されるファスナ152を受け入れるために用いられる複数のボルト穴430が示されている。
図5Aは、図1のガス分配プレートアセンブリ110、又は図2のガス分配プレートアセンブリ200と共に用いることができる再帰的流体システム500の一実施形態の等角図である。図5Bは、図5Aの再帰的流体システム500の平面図である。図5Cは、図3に記載された蓋アセンブリ300の一部としてプレート部材148に結合された再帰的流体システム500の等角図である。
再帰的流体システム500は、複数の供給コンジット505と、熱制御コンジット150Aと流体連通する複数のリターンコンジット510とを含む。複数の供給コンジット505の各々は、冷却材プレート305の供給チャネル515に結合される。同様に、複数のリターンコンジット510の各々は、冷却材プレート305のリターンチャネル520に結合される。
図6Aは、ベースプレート210に形成された分岐コンジット214の実施形態を示すガス分配プレートアセンブリ600の一部の概略断面図である。図6Bは、図6Aのベースプレート210の等角上面図である。この実施形態では、ガスコンジット162の各々は、ベースプレート210の上面610に形成された各々のチャネル605に延在する。分岐コンジット214は、チャネル605と有孔フェイスプレート125の孔部160の間に結合される。幾つかの実施形態では、ベースプレート210に形成された約450〜約500個のチャネル605がある。
図7は、一実施形態によるプロセスガス分配システム315の上部平面図である。プロセスガス分配システム315は、図1のガス分配プレートアセンブリ110、又は図2のガス分配プレートアセンブリ200のいずれかと共に用いることができる。プロセスガス分配システム315は、中央配送ゾーン700、中間配送ゾーン705、及び外側配送ゾーン710に分割されたガスライン320を含む。各々のゾーン700、705、710は、本明細書に記載のように、再帰的であってもよい。
図8は、図1のガス分配プレートアセンブリ110、又は図2のガス分配プレートアセンブリ200として用いることができるガス分配プレートアセンブリ800の一部の等角分解図である。ガス分配プレートアセンブリ800は、ベースプレート210を含み、これは、本明細書に記載されているように、アルミニウム又はシリコン浸透金属マトリックス複合体から製造することができる。また、ガス分配プレートアセンブリ800は、接着層805により、ベースプレート210に結合することができる有孔フェイスプレート125を含む。接着層805は有機接着材料、又は拡散接合であってもよい。
図9は、図1のガス分配プレートアセンブリ110、又は図2のガス分配プレートアセンブリ200として用いることができるガス分配プレートアセンブリ900の別の実施形態の分解断面図である。ガス分配プレートアセンブリ900は、本体142を含む。本体142はアルミニウムで形成することができ、熱制御コンジット150A及び150B(図9には図示せず)を含むことができる。この実施形態によるガス分配プレートアセンブリ900は、本明細書に記載されるように、SiSiC材料で形成されたベースプレート210を含む。ベースプレート210は、本明細書で説明されるように、分配チャネル164及び/又は分岐コンジット214(両方とも図示せず)を含むことができる。有孔フェイスプレート125は、接着層144によりベースプレート210に結合されてもよい。この実施形態による接着層144は、アルミニウム(Al)又はアルミニウム/シリコン合金(AlSi)材料である。接合層144は拡散接合であってもよい。接合層144は、約550℃〜約600℃で提供されてもよい。接着層144は、約10ミル(約0.25ミリメートル)の厚さ905を有することができる。
上記は本開示の実施形態を対象としているが、本開示の他の及び更なる実施形態は、その基本的な範囲から逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. ガス分配プレートアセンブリであって、
    本体と、
    本体に結合された金属−シリコン複合材料を含むベースプレートと、
    ベースプレートに結合された導電性シリコンウェハを含む有孔フェイスプレートとを備え、
    ベースプレートは、有孔フェイスプレートに形成された孔部と流体連通する複数のガスコンジットを含んでいるガス分配プレートアセンブリ。
  2. 有孔フェイスプレートをベースプレートに結合させる接着層をさらに備える、請求項1に記載のガス分配プレートアセンブリ。
  3. 接着層は、アルミニウムシリコン合金又はアルミニウム材料を含んでいる、請求項2に記載のガス分配プレートアセンブリ。
  4. 接着層は拡散接合を含んでいる、請求項2に記載のガス分配プレートアセンブリ。
  5. ベースプレートはアルミニウム−シリコン合金を含んでいる、請求項1に記載のガス分配プレートアセンブリ。
  6. 本体はアルミニウム材料を含んでいる、請求項1に記載のガス分配プレートアセンブリ。
  7. 複数のガスコンジットの一部が、ベースプレートに形成された複数の分岐コンジットを含んでいる、請求項1に記載のガス分配プレートアセンブリ。
  8. 分岐コンジットは分配チャネルに結合されている、請求項7に記載のガス分配プレートアセンブリ。
  9. 分配チャネルは、有孔フェイスプレートとベースプレートとの間に配置された接着層に形成されている、請求項8に記載のガス分配プレートアセンブリ。
  10. ガス分配プレートアセンブリであって、
    アルミニウム材料を含む本体と、
    本体に結合されたベースプレートと、
    ベースプレートに結合された導電性シリコンウェハを含む有孔フェイスプレートと、
    ベースプレートと有孔フェイスプレートとの間に配置された接着層とを備えるガス分配プレートアセンブリ。
  11. 接着層は有機接着剤を含んでいる、請求項10に記載のガス分配プレートアセンブリ。
  12. ガス通路がベースプレートに形成されている、請求項10に記載のガス分配プレートアセンブリ。
  13. ベースプレートはシリコン浸透金属マトリックス複合体を含んでいる、請求項10に記載のガス分配プレートアセンブリ。
  14. ガス通路がベースプレート及び接着層に形成されている、請求項10に記載のガス分配プレートアセンブリ。
  15. 本体とベースプレートとの間に熱ガスケットが配置されている、請求項10に記載のガス分配プレートアセンブリ。
JP2020091943A 2016-06-15 2020-05-27 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ Pending JP2020145471A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662350598P 2016-06-15 2016-06-15
US62/350,598 2016-06-15

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018565719A Division JP6710783B2 (ja) 2016-06-15 2016-12-22 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ

Publications (1)

Publication Number Publication Date
JP2020145471A true JP2020145471A (ja) 2020-09-10

Family

ID=60659793

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018565719A Active JP6710783B2 (ja) 2016-06-15 2016-12-22 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ
JP2020091943A Pending JP2020145471A (ja) 2016-06-15 2020-05-27 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018565719A Active JP6710783B2 (ja) 2016-06-15 2016-12-22 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ

Country Status (6)

Country Link
US (1) US11043360B2 (ja)
JP (2) JP6710783B2 (ja)
KR (1) KR102251209B1 (ja)
CN (1) CN109075059B (ja)
TW (2) TWI729871B (ja)
WO (1) WO2017218044A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10690589B2 (en) * 2017-07-28 2020-06-23 Kla-Tencor Corporation Laser sustained plasma light source with forced flow through natural convection
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11434568B2 (en) * 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
USD936187S1 (en) * 2020-02-12 2021-11-16 Applied Materials, Inc. Gas distribution assembly lid
JP7446145B2 (ja) 2020-04-07 2024-03-08 東京エレクトロン株式会社 基板処理装置
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US11371148B2 (en) * 2020-08-24 2022-06-28 Applied Materials, Inc. Fabricating a recursive flow gas distribution stack using multiple layers
US20220093362A1 (en) * 2020-09-22 2022-03-24 Applied Materials, Inc. Showerhead assembly with recursive gas channels
KR20220095677A (ko) * 2020-12-30 2022-07-07 세메스 주식회사 온도 측정 유닛을 포함하는 공정 챔버 및 온도 측정 유닛을 포함하는 기판 처리 장치
WO2023283375A1 (en) * 2021-07-08 2023-01-12 Applied Materials, Inc. Showerhead assembly with recursive gas channels
JP2023123190A (ja) 2022-02-24 2023-09-05 東京エレクトロン株式会社 基板処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007194507A (ja) * 2006-01-20 2007-08-02 Tokyo Electron Ltd プラズマ発生用の電極及びプラズマ処理装置
JP2007535816A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション プロセスガス及び高周波電力を供給するガス分配部材を含むプラズマ処理用機器
JP2009536461A (ja) * 2006-05-03 2009-10-08 アプライド マテリアルズ インコーポレイテッド 高アスペクト比の特徴部をエッチングするのに適した真空処理チャンバ及びそのコンポーネント
JP2012069867A (ja) * 2010-09-27 2012-04-05 Tokyo Electron Ltd 電極及びプラズマ処理装置
JP2016511935A (ja) * 2013-01-25 2016-04-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 取り外し可能なガス分配プレートを有するシャワーヘッド

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
CN100386668C (zh) * 2004-05-12 2008-05-07 应用材料股份有限公司 采用气体扩散板通道设计的等离子体均匀度控制
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
US7659197B1 (en) * 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP2009188173A (ja) * 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
JP5613904B2 (ja) * 2011-03-23 2014-10-29 三菱マテリアル株式会社 プラズマ処理装置用電極板
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
TWI638587B (zh) * 2011-10-05 2018-10-11 美商應用材料股份有限公司 對稱電漿處理腔室
ES2532028T3 (es) 2011-10-14 2015-03-23 Allnex Ip S.À.R.L. Procedimiento para la preparación de (met)acrilatos de uretano de baja viscosidad, diluibles en agua
US20130288037A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
CN103334092B (zh) * 2013-06-13 2015-04-22 中国电子科技集团公司第四十八研究所 一种用于金属有机化学气相沉积反应器的管道冷却式气体分布装置
KR101632376B1 (ko) * 2014-10-14 2016-07-04 참엔지니어링(주) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP5800972B1 (ja) * 2014-09-10 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、ガス供給ユニット、カートリッジヘッド及びプログラム

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007535816A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション プロセスガス及び高周波電力を供給するガス分配部材を含むプラズマ処理用機器
JP2007194507A (ja) * 2006-01-20 2007-08-02 Tokyo Electron Ltd プラズマ発生用の電極及びプラズマ処理装置
JP2009536461A (ja) * 2006-05-03 2009-10-08 アプライド マテリアルズ インコーポレイテッド 高アスペクト比の特徴部をエッチングするのに適した真空処理チャンバ及びそのコンポーネント
JP2012069867A (ja) * 2010-09-27 2012-04-05 Tokyo Electron Ltd 電極及びプラズマ処理装置
JP2016511935A (ja) * 2013-01-25 2016-04-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 取り外し可能なガス分配プレートを有するシャワーヘッド

Also Published As

Publication number Publication date
CN109075059B (zh) 2023-12-01
JP2019523995A (ja) 2019-08-29
US20170365443A1 (en) 2017-12-21
TWI720110B (zh) 2021-03-01
TWI729871B (zh) 2021-06-01
TW202044931A (zh) 2020-12-01
WO2017218044A1 (en) 2017-12-21
CN109075059A (zh) 2018-12-21
US11043360B2 (en) 2021-06-22
KR20190002738A (ko) 2019-01-08
JP6710783B2 (ja) 2020-06-17
KR102251209B1 (ko) 2021-05-11
TW201801569A (zh) 2018-01-01

Similar Documents

Publication Publication Date Title
JP2020145471A (ja) 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ
KR102567808B1 (ko) 고온 프로세스들을 위한 기판 지지 어셈블리
CN106663647B (zh) 用于高温处理的静电夹盘组件
US10790180B2 (en) Electrostatic chuck with variable pixelated magnetic field
JP2021525454A (ja) 極めて均一性が高い加熱基板支持アセンブリ
US20200035535A1 (en) Metal bonded electrostatic chuck for high power application
US20240055289A1 (en) Vacuum seal for electrostatic chuck

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210511

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210614

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20211026