TWI720110B - 用於高功率電漿蝕刻處理的氣體分配板組件 - Google Patents

用於高功率電漿蝕刻處理的氣體分配板組件 Download PDF

Info

Publication number
TWI720110B
TWI720110B TW106101809A TW106101809A TWI720110B TW I720110 B TWI720110 B TW I720110B TW 106101809 A TW106101809 A TW 106101809A TW 106101809 A TW106101809 A TW 106101809A TW I720110 B TWI720110 B TW I720110B
Authority
TW
Taiwan
Prior art keywords
gas distribution
plate assembly
distribution plate
bottom plate
main body
Prior art date
Application number
TW106101809A
Other languages
English (en)
Other versions
TW201801569A (zh
Inventor
詹姆士D 卡度希
肯尼S 柯林
卡堤克 拉馬斯瓦米
麥可R 萊斯
理查查爾斯 佛菲爾
維傑D 帕克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201801569A publication Critical patent/TW201801569A/zh
Application granted granted Critical
Publication of TWI720110B publication Critical patent/TWI720110B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

提供一種用於處理腔室的氣體分配板組件,在一個實施例,該氣體分配板組件包括主體、底板與穿孔面板,該主體由金屬材料製成,該底板包含與該主體耦接之一矽滲入的金屬基質複合物,該穿孔面板包含藉由黏接層與底板耦接的矽盤。

Description

用於高功率電漿蝕刻處理的氣體分配板組件
本揭露的實施例一般係關於半導體處理設備。更具體言之,本揭露的實施例係關於可在電漿處理中使用的氣體分配板組件。
積體電路已經發展成複雜的元件,其可以在單一晶片上包括數以百萬計的組件(如電晶體、電容器、電阻器等)。晶片設計的發展需要更快的電路以及更大的電路密度,對於更大的電路密度之需求必須在積體電路部件的尺寸上有所縮小。這些元件的特徵的最小尺寸在本技術領域中通常稱作臨界尺寸。臨界尺寸通常包括電路結構的特徵的最小寬度,如線、線與線之間的空間、列、開口等。
隨著這些臨界尺寸縮小,為了維持高產量,整個底板的處理均勻性變得很重要。與積體電路製造中使用的傳統電漿蝕刻處理相關聯的一個問題是,在整個基板上蝕刻速率的非均勻性,這可能部分因為在蝕刻過程中使用的組件的溫度變化,如氣體分配板組件。此非均勻性可能顯著影響效能及增加製造積體電路的成本。
需要新的設備和方法來更精確地控制氣體分配板組件的溫度。
提供一種用於處理腔室的氣體分配板組件,在一個實施例,該氣體分配板組件包括主體、底板與穿孔面板,該主體由金屬材料製成,該底板包含與該主體耦接之矽滲入的金屬基質複合物,該穿孔面板包含藉由黏接層與底板耦接的矽盤。
氣體分配板組件包括主體、穿孔面板與黏接層,穿孔面板包含耦接至主體的矽盤,黏接層設置在主體和穿孔面板之間。
在另一個實施例中,提供一種處理腔室,其包括氣體分配板組件。氣體分配板組件包括底板與穿孔面板,底板包含金屬基質複合物,該穿孔面板包含藉由黏接層與底板耦接的矽盤。處理腔室亦包括耦接至氣體分配板組件的溫度控制系統。
本揭露的實施例提供可在針對所提供任意數量的基板處理技術之處理腔室中使用的氣體分配板組件。針對施行同時需要基板表面的加熱與冷卻而不破壞真空的電漿輔助乾式蝕刻製程,該氣體分配板組件係特別有用的。氣體分配板組件可用於可自美國加州聖克拉拉的應用材料公司取得的蝕刻腔室中,但亦可適用於用於執行其他類型電漿處理且可自其他製造商取得的腔室中。
圖1是表示示例性處理腔室100之部分截面圖。在一個實施例中,處理腔室100包括腔室主體105、氣體分配板組件110和支撐組件115。處理腔室100的腔室主體105可由一或多個處理兼容材料形成,如鋁、陽極化的氧化鋁、鍍鎳的鋁、鍍鎳的鋁6061-T6、不銹鋼及以上各者的組合及其合金。 支撐組件115可作為與氣體分配板組件110結合的電極,使得電漿可在穿孔面板125和支撐組件115的上表面130之間界定的處理空間120中形成。支撐組件115可以是真空吸盤、靜電吸盤,或可由導電材料(如鋁)製成的其他類型的基板支撐件。或者,支撐組件115可由陶瓷材料製成且包括用於靜電夾持、偏壓(biasing)電漿或其他用途的嵌入式電極135。腔室主體105亦可耦接至真空系統136,真空系統136包括泵和閥。襯墊138亦可設置在處理空間120的腔室主體105的表面上。
腔室主體105包括形成在其側壁上的埠140。埠140被選擇性地打開和關閉,以允許藉由基板傳送機器人(未圖示)進出腔室主體105的內部。基板(未圖示)可以透過埠140進出處理腔室100而移送到相鄰的移送腔室和(或)裝載閘腔室或群集工具內的另一腔室。支撐組件115可相對於腔室主體105移動。基板(未圖示)可設置在用於處理的支撐組件115的上表面130。支撐組件115可係在與用於基板移送的埠140相鄰的位置中。支撐組件115亦可移動到靠近用於處理之穿孔面板125的位置中。支撐組件115亦可相對於腔室主體105轉動。升舉銷(未圖示)亦可用於將基板與支撐組件115的上表面130分隔開以能夠在基板移送期間與傳送機器人交換。
氣體分配板組件110包括主體142。主體142藉由黏接層144耦接至穿孔面板125。在一些實施例中,黏接層144可以是有機黏接劑。在此實施例中,主體142包括一或多個板構件146、148,板構件146、148包括在其中形成的熱控制導管150A和150B。板構件146和148可由導電材料(如鋁)製成且藉由緊固件152彼此耦接,使得提供板構件146和148之間的金屬對金屬接觸。主體142和穿孔面板125可藉由緊固件154耦接至腔室主體105。密封件156(如彈性O形環)可設置在主體142和腔室主體105之間,以密封處理空間120以及將主體142與腔室主體105電絕緣。射頻(RF)電源158可耦接至主體142或穿孔面板125中的一或兩者,以將氣體分配板組件110相對於支撐組件115電偏壓。
穿孔面板125包括複數個開口160,複數個開口160耦接至複數個氣體導管162,以提供氣體給處理空間120。在本實施例中,氣體導管162穿過板構件146和148形成而到黏接層144中形成的分配通道164。穿孔面板125可由用於積體電路製造中的矽製成,如電子元件製造中使用的矽盤或矽晶圓。穿孔面板125可以是任何大小且包括任何合適的表面積,但在一個實施例中,穿孔面板125是450毫米(直徑上)的矽晶圓。穿孔面板125的矽材料可以是摻雜的或未摻雜的,以提供增強的導電性或介電性質。
可使用合適的孔形成技術(如蝕刻或雷射鑽孔)來形成開口160。在一個實施例中,藉由直通矽穿孔(TSV)處理來形成開口160。在一個實施例中,每個開口的直徑可以是約50微米(µm)至約64µm。相對於穿孔面板125的表面積,開口160可以很多(即密集),以最大化分配通道164中流導及(或)最小化分配通道164中的壓力。開口160尺寸與開口160密度中的一個或多個減小在分配通道164或主體142的其他部分中點火電漿的可能性。屏蔽165可定位在襯墊138和穿孔面板125之間。屏蔽165可由石英或其他有處理抗性的材料。
利用用於穿孔面板125的矽晶圓提供氣體分配板組件110的可替換消耗元件。例如,電漿可隨著時間侵蝕穿孔面板125的表面。當穿孔面板被侵蝕時,穿孔面板125可自主體142去耦(decouple)以及新的穿孔面板125可黏接於主體142。因為相較於替換整個氣體分配組件110,在需要時,可以低得多的成本用另一個穿孔面板替換穿孔面板125,因此,使用標準尺寸的矽晶圓減少所有權的成本(cost of ownership)。
在一些實施例中,熱控制導管150A和150B可操作地耦接至溫度控制系統166。溫度控制系統166包括系統控制器168和溫度控制器170。溫度控制器170耦接至加熱器引線172,加熱器引線172耦接至熱控制導管150B。在一些實施例中,熱控制導管150B可含有電阻加熱器173或加熱器。溫度控制器170亦藉由管道174耦接至熱控制導管150A。管道174和溫度控制導管150A可經調整而在其中流動冷卻劑,如傳熱流體。溫度控制系統166亦可包括溫度感測器176,溫度感測器176與溫度控制器170通訊。溫度感測器176可定位在主體142內以監控氣體分配板組件110的主體142的溫度。每個溫度感測器176可以是熱電偶或是為溫度控制器170提供氣體分配板組件110的溫度度量的其他裝置。在一些實施例中,腔室主體105可包括溫度控制導管178,溫度控制導管178耦接至溫度控制器170。溫度感測器176可用於監控腔室主體105的溫度以及為溫度控制器170提供溫度的度量。溫度控制器170可包括伺服控制器,伺服控制器控制到電阻加熱器173的電力以及在使用時,控制到熱控制導管150A(和溫度控制導管178)的液體流量控制。
在操作中,系統控制器168可提供氣體分配板組件110的設定點溫度給溫度控制器170。基於來自溫度感測器176的反饋,溫度控制器170可提供加熱(如經由電阻加熱器173)或提供冷卻(如藉由熱控制導管150A),以提供和(或)維持氣體分配板組件110在設定點溫度。因此,封閉迴路的溫度控制係藉由溫度控制系統166提供。
在操作的一個實例中,溫度控制系統166提供兩個模式的溫度控制。當電漿被RF電源158誘發(induced)時(「電漿開啟」(plasma-on)),提供第一模式。當在電漿開啟狀態時,基板分配板組件110的主要熱負載係來自於處理空間120中的電漿產生的熱。其他的熱負載是由氣體分配板組件110中的電阻加熱器173所提供。在電漿開啟期間,在第一模式中,施加於電阻加熱器173的功率為約10%至約20%的功率,以維持溫度設定點的控制。在第二模式中,當電漿損失(tolled)(「電漿關閉」(plasma-off))時,加熱器功率增大到約80%至約90%,以維持溫度設定點。針對額外的溫度控制,溫度控制系統166可包括用於熱控制導管150A的低流量(low flow)模式和高流量(high flow)模式。對於電漿關閉的低流量狀況能夠減小加熱器功率,以維持溫度設定點,而對於電漿開啟的高流量可從電漿的熱減少氣體分配板組件110的溫度。溫度控制器170可利用流量控制閥與封閉迴路中的比例積分微分控制器(PID控制器)以及來自氣體分配板組件110的溫度感測器176之溫度設定點和讀數。冷卻劑的流動速率和加熱器功率可由伺服控制,以維持溫度設定點。
溫度控制系統166的實施例可以用於在電漿開啟狀態和電漿關閉狀態之間的循環期間提供氣體分配板組件110的均勻溫度。在一些傳統腔室中,在氣體分配板和處理空間的介面可能有約攝氏30度的溫度差。溫度控制器170的第一和第二模式可用於維持電漿開啟狀態和電漿關閉狀態兩者中的設定點溫度。設定點溫度的維持可使得基板處理以及基板對基板的處理更為穩定。在此方式中,能夠可靠地控制氣體分配板組件110的溫度控制及處理溫度。本文中所述的氣體分配板組件110的實施例可以可靠地用於高功率介電蝕刻處理(如約20千瓦的總RF功率)中。如本文所述的溫度控制系統166的實施例可用於維持約攝氏120度至約攝氏160度的設定點溫度。
圖2是可用在圖1的處理腔室100中的氣體分配板組件200的另一實施例的概要截面圖。氣體分配板組件200包括複數個溫度感測器,如外部區域溫度感測器205A和內部區域溫度感測器205B。氣體分配板組件200類似於如圖1的氣體分配板組件110,其中有以下例外。氣體分配板組件200的主體142是整體(單件式)構件,但可以如圖1是兩個板。其他的差異包括耦接於主體142和穿孔面板125之間的底板210。
在此實施例中的底板210可由矽滲入金屬基質複合物製成。底板210材料的實例包括反應黏合陶瓷材料,其具有不同量的矽(Si),其中碳化矽(SiC)為其主要成分(即SiSiC)。底板210的Si含量(體積%)可以是約20至約30,其餘的是碳化矽。材料的實例包括可自康乃狄克州紐敦(Newtown)的M Cubed Technologies, Inc.公司取得的Grade SSC-702、Grade SSC-802及Grade SSC-903。
底板210可藉由緊固件152直接固定到主體142,以確保它們之間的良好熱接觸。在替代實施例中,根據熱導率,熱墊片212可設置在主體142和底板210之間。在此實施例中,黏接層144(其將穿孔面板125固定於底板210)可以是擴散黏接。底板210和穿孔面板125可具有類似的熱導率,其可提供主體142和穿孔面板125之間的熱連通,以控制氣體分配板組件200的溫度。
氣體導管162可穿過主體142形成,且其至少一部分包括分支導管214。分支導管214用於將氣體輸送透過底板210到分配通道164和(或)穿孔面板125中的開口160。在燒結之前,分配通道164和分支導管214以及底板210中的氣體導管162的延伸部可在底板210的生坯(green body)中形成。例如,任何溝槽或孔可被加工或者在底板210的生坯中形成且以碳填充,該碳在燒結之後被燒毀(burned off)。或者,分配通道164和分支導管214以及底板210中的氣體導管162的延伸部可藉由燒結底板210之後的加工而形成。密封件216(如O形環)可用來防止氣體在底板210和主體142的介面處洩漏。
在此實施例中,氣體分配板組件200耦接至溫度控制系統166,溫度控制系統166包括流體處理裝置215。流體處理裝置215可以是熱交換器或冷卻機,其控制提供給熱控制導管150A的流體之溫度。流體處理裝置215可藉由管道174耦接至熱控制導管150A。在一些實施例中,溫度控制系統166包括水設施介面盒220,水設施介面盒220具有提供於氣體分配板組件200(及處理腔室100(圖1中所示)的其他部分)的溫度控制的水。根據此實施例(以及圖1的實施例)的管道174是遞迴式的。遞迴式可定義為等分冷卻劑線(如管道174),例如,從一個導管分成兩個導管、兩個導管分成四個導管、四個導管分成八個導管、八個導管分成十六個導管,依此類推。此外,分割的每段是相同的長度、液壓直徑和形狀,所以每段具有相同的流導。遞迴式導管提供穿孔面板125上均勻的溫度,以及提供在冷卻象限(一個實施例中的四個冷卻區域)中相同的流動速率。
圖3是可與圖1的氣體分配板組件110或圖2的氣體分配板組件200一起使用的溫度控制系統166的截面圖。溫度控制系統166可以是蓋組件300的部分,其可以氣體分配板組件110或圖2的氣體分配板組件200之一者耦接至圖1的處理腔室100。
蓋組件300包括冷卻劑板305,冷卻劑板305包括耦接至管道174的複數個遞迴式冷卻劑通道310。管道174耦接至板構件148,板構件148包括熱控制導管150A。蓋組件300亦包括處理氣體分配系統315,處理氣體分配系統315包括複數個氣體線320。氣體線320的部分被連接到板件148和用於在多孔面板125中流動過程氣體到開口160的氣體導管162。
在一個實施例中,蓋組件300包括磁體組件325,磁體組件325包含中心或第一磁體330以及周邊或第二磁體335中的一或兩者。磁體組件325可以是尖形磁體系統,其產生在腔室中心有峰值場的B場(B-field)。磁體組件325可用來調整蝕刻速率。例如,可使用內部線圈比外部線圈的電流之比率來調整。約162 MHz的RF頻率傾向於是中心峰值的電漿(在腔室的中心有最高的電漿密度),且且磁體可用來將電漿向外推,這減小了中心峰值的電漿。在一些實施例中,低RF頻率(如約60 MHz)可能不需要磁體來調整電漿。
圖4A和4B是可在圖1的氣體分配板組件110或圖2的氣體分配板組件200中使用的電阻加熱器173的各個示意圖。圖4A是氣體分配板組件400的一部分的截面圖,而圖4B是根據一個實施例的電阻加熱器173的等角視圖。
電阻加熱器173可設置在板構件146中且包括如圖4A所示的內部區域與外部區域。電引線402可延伸穿過板構件148或繞板構件148延伸,以用於連接到電源(未圖示)。
如圖4B所示,電阻加熱器173包括用於外區區域的第一加熱器元件405以及用於內部區域的第二加熱器元件410。電引線415可用於將第一加熱元件405耦接至電源,而電引線420可用於將第二加熱器元件410耦接至電源。
圖4C是板構件146的等角視圖,板構件146可以是鋁板,具有在板構件146中形成的複數個通道425。通道425經調整尺寸以容納圖4B所示的電阻加熱器173的第一加熱元件405及第二加熱元件410。亦表示複數個螺釘孔430,其用於接收圖1和2中所示的緊固件152。
圖5A是可與圖1的氣體分配板組件110或圖2的氣體分配板組件200一起使用的遞迴式流體系統500的一個實施例之等角視圖。圖5B是圖5A的遞迴式流體系統500的平面圖。圖5C是與作為圖3蓋組件300的部分的板構件148耦接的遞迴式流體系統500之等角視圖。
遞迴式流體系統500包括複數個供應導管505和複數個返回導管510,其與熱控制導管150A流體連通。複數個供應管道505中的每一個耦接至冷卻劑板305的供應通道515。同樣地,複數個返回導管510的各者耦接至冷卻劑板305的返回通道520。
圖6A是在底板210中形成的分支導管214實施例上表示的氣體分配板組件600的部分之概要截面圖。圖6B是圖6A的底板210的等角頂視圖。在此實施例中,每個氣體導管162延伸到在底板210的上表面610中形成的相應通道605。分支導管214耦接於通道605和穿孔面板125的開口160之間。在一些實施例中,有約450至約500個通道605形成在底板210中。
圖7是根據一個實施例的處理氣體分配系統315的平面頂視圖。處理氣體分配系統315可與圖1的氣體分配板組件110或圖2的氣體分配板組件200中的任一者一起使用。處理氣體分配系統315包括氣體線320,氣體線320分成中心輸送區域700、中間輸送區域705和外部輸送區域710。區域700、705和710的各者可係本說明書所述的遞迴式。
圖8是可用作圖1的氣體分配板組件110或圖2的氣體分配板組件200之氣體分配板組件800的一部分的等角分解圖。氣體分配板組件800包括底板210,底板210可由鋁或如本說明書所述的矽滲入金屬基質複合物製成。氣體分配板組件800亦包括穿孔面板125,穿孔面板125可藉由黏接層805耦接至底板210。黏接層805可以是有機結合材料或擴散黏接。
圖9是可用作圖1的氣體分配板組件110或圖2的氣體分配板組件200之氣體分配板組件900的另一個實施例的分解截面圖。氣體分配板組件900包括主體142。主體142可由鋁製成,且包括熱控制導管150A和150B(在圖9中未圖示)。根據此實施例的氣體分配板組件900包括如本文所述的SiSiC材料製成的底板210。底板210可包括如本文所述的分配通道164和(或)分支導管214(皆未圖示)。穿孔面板125可藉由黏接層144耦接至底板210。根據此實施例的黏接層144是鋁(Al)或鋁/矽合金(AlSi)材料。黏接層144可以是擴散黏接。可在約攝氏550度至約攝氏600度提供黏接層144。黏接層144可具有約10密耳(約0.25毫米)的厚度905。
儘管前面所述係針對本揭露的實施例,但在不背離本揭露基本範圍及以下專利申請範圍所界定之範圍下,可設計本揭露的其他與進一步的實施例。
100:處理腔室
105:腔室主體
110:氣體分配板組件
115:支撐組件
120:處理空間
125:穿孔面板
130‧‧‧上表面135‧‧‧嵌入式電極136‧‧‧真空系統138‧‧‧襯墊140‧‧‧埠142‧‧‧主體144‧‧‧黏接層146‧‧‧板構件148‧‧‧板構件150A‧‧‧熱控制導管150B‧‧‧熱控制導管152‧‧‧緊固件154‧‧‧緊固件156‧‧‧密封件158‧‧‧RF電源160‧‧‧開口162‧‧‧氣體導管164‧‧‧分配通道165‧‧‧屏蔽166‧‧‧溫度控制系統168‧‧‧系統控制器170‧‧‧溫度控制器172‧‧‧加熱器引線173‧‧‧電阻加熱器174‧‧‧管道176‧‧‧溫度感測器178‧‧‧溫度控制導管200‧‧‧氣體分配板組件205A‧‧‧外部區域溫度感測器205B‧‧‧內部區域溫度感測器210‧‧‧底板212‧‧‧熱墊片214‧‧‧分支導管215‧‧‧流體處理裝置216‧‧‧密封件220‧‧‧水設施介面盒300‧‧‧蓋組件305‧‧‧冷卻劑板310‧‧‧遞迴式冷卻劑通道315‧‧‧處理氣體分配系統320‧‧‧氣體線325‧‧‧磁體組件330‧‧‧第一磁體335‧‧‧第二磁體400‧‧‧氣體分配板組件402‧‧‧電引線405‧‧‧第一加熱器組件410‧‧‧第二加熱器組件415‧‧‧電引線420‧‧‧電引線425‧‧‧通道430‧‧‧螺釘孔500‧‧‧遞迴式流體系統505‧‧‧供應導管510‧‧‧返回導管515‧‧‧供應通道520‧‧‧返回通道600‧‧‧氣體分配板組件605‧‧‧通道610‧‧‧上表面700‧‧‧中心輸送區域705‧‧‧中間輸送區域710‧‧‧外部輸送區域800‧‧‧氣體分配板組件805‧‧‧黏接層900‧‧‧氣體分配板組件905‧‧‧厚度
本揭露之特徵已簡要概述於前,並在以下有更詳盡之論述,可以藉由參考所附圖式中繪示之本發明實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了本揭露的典型實施例,而由於本揭露可允許其他等效之實施例,因此所附圖式並不會視為本揭露範圍之限制。
圖1是表示示例性處理腔室之部分截面圖。
圖2是可用在圖1的處理腔室中的氣體分配板組件的另一實施例的概要截面圖。
圖3是可與圖1的氣體分配板組件或圖2的氣體分配板組件一起使用的溫度控制系統的截面圖。
圖4A是氣體分配板組件的一部分的截面圖。
圖4B是根據一個實施例的電阻加熱器的等角視圖(isometric view)。
圖4C是具有在板構件中形成的複數個通道之板構件的等角視圖。
圖5A是可與圖1的氣體分配板組件或圖2的氣體分配板組件一起使用的遞迴式流體系統的一個實施例之等角視圖。
圖5B是圖5A的遞迴式流體系統的平面圖。
圖5C是與作為圖3蓋組件的部分的板構件耦接的遞迴式流體系統之等角視圖。
圖6A是在底板中形成的分支導管實施例上表示的氣體分配板組件的部分之概要截面圖。
圖6B是圖6A的底板之等角頂視圖。
圖7是根據一個實施例的處理氣體分配系統的平面頂視圖。
圖8是可用作圖1的氣體分配板組件或圖2的氣體分配板組件之氣體分配板組件的一部分的等角分解圖。
圖9是可用作圖1的氣體分配板組件或圖2的氣體分配板組件之氣體分配板組件的另一個實施例的分解截面圖。
為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以預期,一個實施例中揭露的元件可有利地用於其他實施例中而無需贅述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
105‧‧‧腔室主體
125‧‧‧穿孔面板
142‧‧‧主體
144‧‧‧黏接層
150A‧‧‧熱控制導管
150B‧‧‧熱控制導管
152‧‧‧緊固件
160‧‧‧開口
162‧‧‧氣體導管
164‧‧‧分配通道
166‧‧‧溫度控制系統
168‧‧‧系統控制器
174‧‧‧管道
200‧‧‧氣體分配板組件
205A‧‧‧外部區域溫度感測器
205B‧‧‧內部區域溫度感測器
210‧‧‧底板
212‧‧‧熱墊片
214‧‧‧分支導管
215‧‧‧流體處理裝置
216‧‧‧密封件
220‧‧‧水設施介面盒

Claims (20)

  1. 一種氣體分配板組件,包括:一底板,該底板包含一金屬基質複合物;及一穿孔面板,該穿孔面板包含一矽晶圓,該矽晶圓由一黏接層耦接至該底板,其中該矽晶圓經摻雜以提供導電性質到該穿孔面板,且其中該黏接層包括形成於該黏接層中的複數個氣體分配通道,該複數個氣體分配通道耦接到穿過該底板而形成的氣體導管。
  2. 如請求項1所述之氣體分配板組件,其中該黏接層包括一鋁矽合金或一鋁材料。
  3. 如請求項1所述之氣體分配板組件,其中該底板在該金屬基質複合物中包括以下各者中之一個:矽、鋁或一鋁矽合金。
  4. 如請求項1所述之氣體分配板組件,其中該黏接層包括一擴散黏接。
  5. 如請求項1所述之氣體分配板組件,其中包含一鋁材料的一主體耦接至該底板。
  6. 如請求項1所述之氣體分配板組件,其中該氣體導管包含形成在該底板中的複數個分支導管。
  7. 一種氣體分配板組件,包括:一主體;一穿孔面板,該穿孔面板包含一矽晶圓,該矽晶圓 由一底板耦接至該主體,其中該矽晶圓經摻雜以提供導電性質到該穿孔面板;一黏接層,該黏接層佈置在該主體和該穿孔面板之間;及複數個密封件,位於在該主體和該底板之間的一介面處。
  8. 如請求項7所述之氣體分配板組件,其中該黏接層包括一有機黏接。
  9. 如請求項7所述之氣體分配板組件,其中在該底板中形成氣體通道。
  10. 如請求項7所述之氣體分配板組件,其中該底板包括矽滲入的金屬基質複合物。
  11. 如請求項10所述之氣體分配板組件,其中在該底板及該黏接層中形成氣體通道。
  12. 如請求項10所述之氣體分配板組件,其中該穿孔面板擴散黏接至該黏接層。
  13. 如請求項10所述之氣體分配板組件,其中該黏接層具有與該主體作表面接觸的一表面。
  14. 如請求項10所述之氣體分配板組件,其中一熱墊片設置在該主體與該底板之間。
  15. 如請求項7所述之氣體分配板組件,進一步包括與該主體的一部分耦接的一流體供應。
  16. 如請求項15所述之氣體分配板組件,其中該流體供應係遞迴式的(recursive)。
  17. 一種處理腔室,包括:一氣體分配板組件,包含:一底板,該底板包含一金屬基質複合物;及一穿孔面板,該穿孔面板包含一矽盤,該矽盤由一黏接層耦接至該底板;及一溫度控制系統,該溫度控制系統耦接至該氣體分配板組件,其中該溫度控制系統包含一流體供應,該流體供應具有一遞迴式管道佈置。
  18. 如請求項17所述之處理腔室,其中該溫度控制系統包括複數個溫度控制系統,該複數個溫度控制系統包含在耦合至該底板的一板中形成的複數個熱控制導管。
  19. 如請求項18所述之處理腔室,其中該複數個熱控制導管的一部分包含一加熱器。
  20. 如請求項17所述之處理腔室,其中該底板在該金屬基質複合物中包括以下各者中之一個:矽、鋁或一鋁矽合金。
TW106101809A 2016-06-15 2017-01-19 用於高功率電漿蝕刻處理的氣體分配板組件 TWI720110B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662350598P 2016-06-15 2016-06-15
US62/350,598 2016-06-15

Publications (2)

Publication Number Publication Date
TW201801569A TW201801569A (zh) 2018-01-01
TWI720110B true TWI720110B (zh) 2021-03-01

Family

ID=60659793

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109121787A TWI729871B (zh) 2016-06-15 2017-01-19 用於高功率電漿蝕刻處理的氣體分配板組件
TW106101809A TWI720110B (zh) 2016-06-15 2017-01-19 用於高功率電漿蝕刻處理的氣體分配板組件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109121787A TWI729871B (zh) 2016-06-15 2017-01-19 用於高功率電漿蝕刻處理的氣體分配板組件

Country Status (6)

Country Link
US (1) US11043360B2 (zh)
JP (2) JP6710783B2 (zh)
KR (1) KR102251209B1 (zh)
CN (1) CN109075059B (zh)
TW (2) TWI729871B (zh)
WO (1) WO2017218044A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10690589B2 (en) * 2017-07-28 2020-06-23 Kla-Tencor Corporation Laser sustained plasma light source with forced flow through natural convection
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11434568B2 (en) * 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
USD936187S1 (en) * 2020-02-12 2021-11-16 Applied Materials, Inc. Gas distribution assembly lid
JP7446145B2 (ja) * 2020-04-07 2024-03-08 東京エレクトロン株式会社 基板処理装置
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US11371148B2 (en) * 2020-08-24 2022-06-28 Applied Materials, Inc. Fabricating a recursive flow gas distribution stack using multiple layers
US20220093362A1 (en) * 2020-09-22 2022-03-24 Applied Materials, Inc. Showerhead assembly with recursive gas channels
KR20220095677A (ko) * 2020-12-30 2022-07-07 세메스 주식회사 온도 측정 유닛을 포함하는 공정 챔버 및 온도 측정 유닛을 포함하는 기판 처리 장치
WO2023283375A1 (en) * 2021-07-08 2023-01-12 Applied Materials, Inc. Showerhead assembly with recursive gas channels
JP2023123190A (ja) 2022-02-24 2023-09-05 東京エレクトロン株式会社 基板処理装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050241766A1 (en) * 2004-04-30 2005-11-03 Rajinder Dhindsa Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
CN101005727A (zh) * 2006-01-20 2007-07-25 东京毅力科创株式会社 等离子体发生用电极和等离子体处理装置
TW201012309A (en) * 2008-08-15 2010-03-16 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
US20140209027A1 (en) * 2013-01-25 2014-07-31 Applied Materials, Inc. Showerhead having a detachable gas distribution plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
CN101144154B (zh) * 2004-05-12 2012-11-14 应用材料公司 采用气体扩散板通道设计的等离子体均匀度控制
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
KR101125086B1 (ko) * 2007-04-17 2012-03-21 가부시키가이샤 알박 성막장치
US7659197B1 (en) * 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
JP2009188173A (ja) * 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
JP5650479B2 (ja) * 2010-09-27 2015-01-07 東京エレクトロン株式会社 電極及びプラズマ処理装置
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
JP5613904B2 (ja) * 2011-03-23 2014-10-29 三菱マテリアル株式会社 プラズマ処理装置用電極板
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
TWI568319B (zh) 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
ES2532028T3 (es) 2011-10-14 2015-03-23 Allnex Ip S.À.R.L. Procedimiento para la preparación de (met)acrilatos de uretano de baja viscosidad, diluibles en agua
US20130288037A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
CN103334092B (zh) * 2013-06-13 2015-04-22 中国电子科技集团公司第四十八研究所 一种用于金属有机化学气相沉积反应器的管道冷却式气体分布装置
KR101632376B1 (ko) * 2014-10-14 2016-07-04 참엔지니어링(주) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP5800972B1 (ja) * 2014-09-10 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、ガス供給ユニット、カートリッジヘッド及びプログラム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050241766A1 (en) * 2004-04-30 2005-11-03 Rajinder Dhindsa Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
CN101005727A (zh) * 2006-01-20 2007-07-25 东京毅力科创株式会社 等离子体发生用电极和等离子体处理装置
TW201012309A (en) * 2008-08-15 2010-03-16 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
US20140209027A1 (en) * 2013-01-25 2014-07-31 Applied Materials, Inc. Showerhead having a detachable gas distribution plate

Also Published As

Publication number Publication date
JP2019523995A (ja) 2019-08-29
KR102251209B1 (ko) 2021-05-11
TW202044931A (zh) 2020-12-01
US11043360B2 (en) 2021-06-22
TWI729871B (zh) 2021-06-01
CN109075059B (zh) 2023-12-01
TW201801569A (zh) 2018-01-01
WO2017218044A1 (en) 2017-12-21
US20170365443A1 (en) 2017-12-21
CN109075059A (zh) 2018-12-21
KR20190002738A (ko) 2019-01-08
JP6710783B2 (ja) 2020-06-17
JP2020145471A (ja) 2020-09-10

Similar Documents

Publication Publication Date Title
TWI720110B (zh) 用於高功率電漿蝕刻處理的氣體分配板組件
TWI705520B (zh) 用於高溫製程之基板支撐組件
TWI713139B (zh) 用於高溫處理之靜電吸座組件
TWI358785B (zh)
TWI536492B (zh) 具有徑向熱扼流器的高溫靜電夾具
KR101582785B1 (ko) 정전 척 조립체
TW201947693A (zh) 用於基板支撐組件的多區墊圈
WO2003009370A2 (en) Substrate support pedestal
TW201936014A (zh) 電漿處理裝置
JP2014160790A (ja) 基板処理装置及び載置台
JP2003243490A (ja) ウエハ処理装置とウエハステージ及びウエハ処理方法
JP2003243492A (ja) ウエハ処理装置とウエハステージ及びウエハ処理方法
US20200035535A1 (en) Metal bonded electrostatic chuck for high power application
US20240055289A1 (en) Vacuum seal for electrostatic chuck
TW202331826A (zh) 具快速排熱能力之高溫基座
CN110352482A (zh) 基板载置台及其电浆处理装置以及电浆处理方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees