CN109075059B - 用于高功率等离子体蚀刻处理的气体分配板组件 - Google Patents

用于高功率等离子体蚀刻处理的气体分配板组件 Download PDF

Info

Publication number
CN109075059B
CN109075059B CN201680084797.5A CN201680084797A CN109075059B CN 109075059 B CN109075059 B CN 109075059B CN 201680084797 A CN201680084797 A CN 201680084797A CN 109075059 B CN109075059 B CN 109075059B
Authority
CN
China
Prior art keywords
gas distribution
plate assembly
distribution plate
perforated panel
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680084797.5A
Other languages
English (en)
Other versions
CN109075059A (zh
Inventor
詹姆斯·D·卡达希
肯尼思·S·柯林斯
K·拉马斯瓦米
M·R·赖斯
R·C·福韦尔
V·D·帕科
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN109075059A publication Critical patent/CN109075059A/zh
Application granted granted Critical
Publication of CN109075059B publication Critical patent/CN109075059B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

提供一种用于处理腔室的气体分配板组件,在一个实施例,该气体分配板组件包括主体、底板与穿孔面板,该主体由金属材料制成,该底板包含与该主体耦接的硅渗入的金属基质复合物,该穿孔面板包含通过黏接层与底板耦接的硅盘。

Description

用于高功率等离子体蚀刻处理的气体分配板组件
背景
技术领域
本公开的实施例一般是关于半导体处理设备。更具体言之,本公开的实施例是关于可在等离子体处理中使用的气体分配板组件。
背景技术
集成电路已经发展成复杂的器件,其可以在单一芯片上包括数以百万计的部件(如晶体管、电容器、电阻器等)。芯片设计的发展需要更快的电路以及更大的电路密度,且对于更大的电路密度的需求必须在集成电路部件的尺寸上有所缩小。这些器件的特征的最小尺寸在本技术领域中通常称作临界尺寸。临界尺寸通常包括电路结构的特征的最小宽度,如线、线与线之间的空间、列、开口等。
随着这些临界尺寸缩小,为了维持高良率,整个底板的处理均匀性变得很重要。与集成电路制造中使用的传统等离子体蚀刻处理相关联的一个问题是在整个基板上蚀刻速率的非均匀性,这可能部分地因为在蚀刻工艺中使用的部件的温度变化,该部件诸如气体分配板组件。此非均匀性可能显著影响性能及增加制造集成电路的成本。
需要新的设备和方法来更精确地控制气体分配板组件的温度。
发明内容
提供一种用于处理腔室的气体分配板组件,在一个实施例,该气体分配板组件包括主体、底板与穿孔面板,该主体由金属材料制成,该底板包含与该主体耦接的硅渗入的金属基质复合物,该穿孔面板包含通过黏接层与底板耦接的硅盘。
气体分配板组件包括主体、穿孔面板与黏接层,穿孔面板包含耦接至主体的硅盘,黏接层设置在主体和穿孔面板之间。
在另一个实施例中,提供一种处理腔室,其包括气体分配板组件。气体分配板组件包括底板与穿孔面板,底板包含金属基质复合物,该穿孔面板包含通过黏接层与底板耦接的硅盘。处理腔室亦包括耦接至气体分配板组件的温度控制系统。
附图说明
本公开的特征已简要概述于前,并在以下有更详尽的论述,可以通过参考本发明实施例以作了解,实施例中的一些在所附附图中绘示。然而,值得注意的是,所附附图只绘示了本公开的典型实施例,而由于本公开可允许其他等效的实施例,因此所附附图并不应视为本公开范围的限制。
图1是示出示例性处理腔室的部分截面图。
图2是可用在图1的处理腔室中的气体分配板组件的另一实施例的示意性截面图。
图3是可与图1的气体分配板组件或图2的气体分配板组件一起使用的温度控制系统的截面图。
图4A是气体分配板组件的一部分的截面图。
图4B是根据一个实施例的电阻加热器的等距视图(isometric view)。
图4C是板构件的等距视图,该板构件具有在其中形成的多个通道。
图5A是可与图1的气体分配板组件或图2的气体分配板组件一起使用的递归式流体系统的一个实施例的等距视图。
图5B是图5A的递归式流体系统的平面图。
图5C是与作为图3的盖组件一部分的板构件耦接的递归式流体系统的等距视图。
图6A是示出在底板中形成的分支导管的实施例上的气体分配板组件的一部分的示意性截面图。
图6B是图6A的底板的等距顶视图。
图7是根据一个实施例的处理气体分配系统的平面顶视图。
图8是可用作图1的气体分配板组件或图2的气体分配板组件的气体分配板组件的一部分的等距分解图。
图9是可用作图1的气体分配板组件或图2的气体分配板组件的气体分配板组件的另一个实施例的分解截面图。
为便于理解,在可能的情况下,使用相同的数字编号代表附图之间共同的组件。可以预期,一个实施例中公开的组件可有利地用于其他实施例中而无需赘述。
具体实施方式
本公开的实施例提供可在针对提供任意数量的基板处理技术的处理腔室中使用的气体分配板组件。针对执行需要基板表面的加热与冷却二者而不破坏真空的等离子体辅助干式蚀刻工艺,该气体分配板组件是特别有用的。气体分配板组件可用于可自美国加利福尼亚州圣克拉拉的应用材料公司取得的蚀刻腔室中,但亦可适用于用于执行其他类型等离子体处理的腔室以及可自其他制造商取得的腔室中。
图1是示出示例性处理腔室100的部分截面图。在一个实施例中,处理腔室100包括腔室主体105、气体分配板组件110和支撑组件115。处理腔室100的腔室主体105可由一或多种处理兼容材料形成,如铝、阳极化铝、镀镍的铝、镀镍的铝6061-T6、不锈钢及以上各者的组合及其合金。支撑组件115可作为与气体分配板组件110结合的电极,使得等离子体可在穿孔面板125和支撑组件115的上表面130之间限定的处理容积120中形成。支撑组件115可以是真空吸盘、静电吸盘,或可由导电材料(如铝)制成的其他类型的基板支撑件。或者,支撑组件115可由陶瓷材料制成且包括用于静电夹持、偏压(biasing)等离子体或其他用途的嵌入式电极135。腔室主体105亦可耦接至真空系统136,真空系统136包括泵和阀。衬垫138亦可设置在处理容积120中的腔室主体105的表面上。
腔室主体105包括形成在其侧壁中的端口140。端口140被选择性地打开和关闭,以允许通过基板传送机器人(未图示)进出腔室主体105的内部。基板(未图示)可以透过端口140进出处理腔室100而传送到相邻的传送腔室和/或装载锁腔室或群集工具内的另一腔室。支撑组件115可相对于腔室主体105移动。基板(未图示)可设置在支撑组件115的上表面130上以供处理。支撑组件115可在与用于基板传送的端口140相邻的位置中。支撑组件115亦可移动到靠近穿孔面板125的位置中以供处理。支撑组件115亦可相对于腔室主体105转动。升降销(未图示)亦可用于将基板与支撑组件115的上表面130分隔开以能够在基板传送期间与基板传送机器人交换。
气体分配板组件110包括主体142。主体142通过黏接层144耦接至穿孔面板125。在一些实施例中,黏接层144可以是有机黏接剂。在此实施例中,主体142包括一或多个板构件146、148,板构件146、148包括在其中形成的热控制导管150A和150B。板构件146和148可由导电材料(如铝)制成且通过紧固件152彼此耦接,使得提供板构件146和148之间的金属对金属接触。主体142和穿孔面板125可通过紧固件154耦接至腔室主体105。密封件156(如弹性体O形环)可设置在主体142和腔室主体105之间,以密封处理容积120以及将主体142与腔室主体105电绝缘。射频(RF)电源158可耦接至主体142或穿孔面板125中的一或两者,以将气体分配板组件110相对于支撑组件115电偏压。
穿孔面板125包括多个开口160,多个开口160耦接至多个气体导管162,以提供气体给处理容积120。在本实施例中,气体导管162穿过板构件146和148形成而到黏接层144中形成的分配通道164。穿孔面板125可由用于集成电路制造中的硅制成,如电子器件制造中使用的硅盘或硅晶片。穿孔面板125可以是任何大小且包括任何合适的表面积,但在一个实施例中,穿孔面板125是450毫米(直径上)的硅晶片。穿孔面板125的硅材料可以是掺杂的或未掺杂的,以提供增强的导电性质或介电性质。
可使用合适的孔形成技术(如蚀刻或激光钻孔)来形成开口160。在一个实施例中,通过穿透硅的穿孔(TSV)处理来形成开口160。在一个实施例中,每个开口的直径可以是约50微米(μm)至约64μm。相对于穿孔面板125的表面积,开口160可以很多(即密集),以最大化分配通道164中的流导和/或最小化分配通道164中的压力。开口160的尺寸与开口160的密度中的一者或多者减小在分配通道164或主体142的其他部分中点火等离子体的可能性。屏蔽件165可定位在衬垫138和穿孔面板125之间。屏蔽件165可由石英或另一耐处理的材料制成。
利用用于穿孔面板125的硅晶片提供气体分配板组件110的可替换消耗组件。例如,由于等离子体可随着时间推移侵蚀穿孔面板125的表面。当穿孔面板125被侵蚀时,穿孔面板125可自主体142去耦(decouple)且新的穿孔面板125可被黏接于主体142。因为相较于替换整个气体分配组件110,可在需要时以低得多的成本用另一个穿孔面板替换穿孔面板125,因此,使用标准尺寸的硅晶片减少拥有的成本(cost of ownership)。
在一些实施例中,热控制导管150A和150B可操作地耦接至温度控制系统166。温度控制系统166包括系统控制器168和温度控制器170。温度控制器170耦接至加热器引线172,加热器引线172耦接至热控制导管150B。在一些实施例中,热控制导管150B可含有电阻加热器173或多个加热器。温度控制器170亦通过管道174耦接至热控制导管150A。管道174和温度控制导管150A可经调整而在其中流动冷却剂,如传热流体。温度控制系统166亦可包括温度传感器176,温度传感器176与温度控制器170通信。温度传感器176可定位在主体142内以监控气体分配板组件110的主体142的温度。每个温度传感器176可以是热电偶或是向温度控制器170提供气体分配板组件110的温度度量的其他装置。在一些实施例中,腔室主体105可包括温度控制导管178,温度控制导管178耦接至温度控制器170。温度传感器176可用于监控腔室主体105的温度以及向温度控制器170提供温度的度量。温度控制器170可包括伺服控制器,伺服控制器控制到电阻加热器173的电力以及流量控制到热控制导管150A(和温度控制导管178,当有使用时)的流体。
在操作中,系统控制器168可提供气体分配板组件110的设定点温度给温度控制器170。基于来自温度传感器176的反馈,温度控制器170可提供加热(如经由电阻加热器173)或提供冷却(如经由热控制导管150A),以提供和/或维持气体分配板组件110在设定点温度。因此,通过温度控制系统166提供了闭环温度控制。
在操作的一个示例中,温度控制系统166提供两种模式的温度控制。当等离子体被RF电源158诱发(induced)时(“等离子体开启”(plasma-on)),提供第一模式。当在等离子体开启状态时,基板分配板组件110的主要热负载来自于处理容积120中的等离子体产生的热。其余的热负载由气体分配板组件110中的电阻加热器173所提供。在等离子体开启期间,在第一模式中,施加于电阻加热器173的功率为约10%至约20%的功率,以维持温度设定点的控制。在第二模式中,当等离子体损失(tolled)(“等离子体关闭”(plasma-off))时,加热器功率增大到约80%至约90%,以维持温度设定点。针对额外的温度控制,温度控制系统166可包括用于热控制导管150A的低流量(low flow)模式和高流量(high flow)模式。针对等离子体关闭状况的低流量能够减小加热器功率,以维持温度设定点,而针对等离子体开启的高流量可降低起因于等离子体的热的气体分配板组件110的温度。温度控制器170可利用封闭回路中的流量控制阀与比例积分微分控制器(PID控制器)以及来自气体分配板组件110中的温度传感器176的温度设定点和读数。冷却剂的流动速率和加热器功率可由伺服控制,以维持温度设定点。
温度控制系统166的实施例可以用于在等离子体开启状态和等离子体关闭状态之间的循环期间提供气体分配板组件110的均匀温度。在一些传统腔室中,在气体分配板和处理容积的界面处可能有约摄氏30度的温度差。温度控制器170的第一和第二模式可用于维持等离子体开启状态和等离子体关闭状态两者中的设定点温度。设定点温度的维持可使得基板处理以及基板到基板的处理更为稳定。在此方式中,能够可靠地控制气体分配板组件110的温度控制及处理温度。本文中所述的气体分配板组件110的实施例可以可靠地用于高功率介电蚀刻处理(如约20千瓦的总RF功率)中。如本文所述的温度控制系统166的实施例可用于维持约摄氏120度至约摄氏160度的设定点温度。
图2是可用在图1的处理腔室100中的气体分配板组件200的另一实施例的示意性截面图。气体分配板组件200包括多个温度传感器,如外部区域温度传感器205A和内部区域温度传感器205B。气体分配板组件200类似于如图1所示的气体分配板组件110,其中有以下例外。气体分配板组件200的主体142是整体(单件式)构件,但如图1所示可以是两个板。其他的差异包括耦接于主体142和穿孔面板125之间的底板210。
在此实施例中的底板210可由硅渗入的金属基质复合物制成。底板210的材料的示例包括反应黏合陶瓷材料,其具有不同量的硅(Si),其中碳化硅(SiC)为其主要成分(即SiSiC)。底板210的Si含量(体积%)可以是约20至约30,其余的是碳化硅。材料的示例包括可自康乃狄克州纽敦(Newtown)的M Cubed Technologies,Inc.公司取得的Grade SSC-702、Grade SSC-802及Grade SSC-903。
底板210可通过紧固件152直接固定到主体142,以确保它们之间的良好热接触。在替代实施例中,根据热导率,热垫片212可设置在主体142和底板210之间。在此实施例中,黏接层144(其将穿孔面板125固定于底板210)可以是扩散黏接剂。底板210和穿孔面板125可具有类似的热导率,这可提供主体142和穿孔面板125之间的热连通,以控制气体分配板组件200的温度。
气体导管162可穿过主体142形成,且其至少一部分包括分支导管214。分支导管214用于将气体输送穿过底板210到分配通道164和/或穿孔面板125中的开口160。在烧结之前,分配通道164和分支导管214以及底板210中的气体导管162的延伸部可在底板210的生坯(green body)中形成。例如,任何沟槽或孔可被加工或者以其他方式形成在底板210的生坯中且以碳填充,该碳随后在烧结之后被烧掉(burned off)。或者,分配通道164和分支导管214以及底板210中的气体导管162的延伸部可通过烧结底板210之后的加工而形成。密封件216(如O形环)可用来防止气体在底板210和主体142的界面处泄漏。
在此实施例中,气体分配板组件200耦接至温度控制系统166,温度控制系统166包括流体处理装置215。流体处理装置215可以是热交换器或冷却机,其控制提供给热控制导管150A的流体的温度。流体处理装置215可通过管道174耦接至热控制导管150A。在一些实施例中,温度控制系统166包括水设施接口盒220,水设施接口盒220具有提供给气体分配板组件200(及处理腔室100(图1中所示)的其他部分)的温度受控的水。根据此实施例(以及图1的实施例)的管道174是递归式的。递归式可定义为等分冷却剂管线(即管道174),例如,从一个导管分成两个导管、两个导管分成四个导管、四个导管分成八个导管、八个导管分成十六个导管,依此类推。此外,分出来的每段是相同的长度、液压直径和形状,所以每段具有相同的流导。递归式导管提供穿孔面板125上均匀的温度,以及提供在冷却象限(一个实施例中的四个冷却区域)中相同的流动速率。
图3是可与图1的气体分配板组件110或图2的气体分配板组件200一起使用的温度控制系统166的截面图。温度控制系统166可以是盖组件300的一部分,其可利用气体分配板组件110或图2的气体分配板组件200中的一者耦接至图1的处理腔室100。
盖组件300包括冷却剂板305,冷却剂板305包括耦接至管道174的多个递归式冷却剂通道310。管道174耦接至板构件148,板构件148包括热控制导管150A。盖组件300亦包括处理气体分配系统315,处理气体分配系统315包括多个气体管线320。气体管线320的部分被耦接到板构件148和气体导管162以使处理气体流向穿孔面板125中的开口160。
在一个实施例中,盖组件300包括磁体组件325,磁体组件325包含中心或第一磁体330以及周边或第二磁体335中的一或两者。磁体组件325可以是尖形磁体系统,其在腔室的中心产生有峰值场的B场(B-field)。磁体组件325可用来调整蚀刻速率。例如,可使用内部线圈电流与外部线圈电流的比率来调整。约162MHz的RF频率倾向于是中心峰值等离子体(在腔室的中心有最高的等离子体密度),且磁体可用来将等离子体向外推,这减少了中心峰值等离子体。在一些实施例中,低RF频率(例如约60MHz)可能不需要磁体来调整等离子体。
图4A和4B是可在图1的气体分配板组件110或图2的气体分配板组件200中使用的电阻加热器173的各种示意图。图4A是气体分配板组件400的一部分的截面图,而图4B是根据一个实施例的电阻加热器173的等距视图。
电阻加热器173可设置在板构件146中且包括如图4A所示的内部区域与外部区域。电引线402可延伸穿过板构件148或绕板构件148延伸,以用于连接到电源(未图示)。
如图4B所示,电阻加热器173包括用于外部区域的第一加热器元件405以及用于内部区域的第二加热器元件410。电引线415可用于将第一加热器元件405耦接至电源,而电引线420可用于将第二加热器元件410耦接至电源。
图4C是板构件146的等距视图,板构件146可以是铝板,具有在板构件146中形成的多个通道425。通道425经调整尺寸以接收图4B所示的电阻加热器173的第一加热器元件405及第二加热器元件410。亦示出了多个螺钉孔430,其用于接收图1和图2中所示的紧固件152。
图5A是可与图1的气体分配板组件110或图2的气体分配板组件200一起使用的递归式流体系统500的一个实施例的等距视图。图5B是图5A的递归式流体系统500的平面图。图5C是与作为图3的盖组件300的一部分的板构件148耦接的递归式流体系统500的等距视图。
递归式流体系统500包括多个供应导管505和多个返回导管510,其与热控制导管150A流体连通。多个供应导管505中的每一个耦接至冷却剂板305的供应通道515。同样地,多个返回导管510中的每一个耦接至冷却剂板305的返回通道520。
图6A是示出在底板210中形成的分支导管214的实施例上的气体分配板组件600的一部分的示意性截面图。图6B是图6A的底板210的等距顶视图。在此实施例中,每个气体导管162延伸到在底板210的上表面610中形成的相应通道605。分支导管214耦接在通道605和穿孔面板125的开口160之间。在一些实施例中,有约450至约500个通道605形成在底板210中。
图7是根据一个实施例的处理气体分配系统315的平面顶视图。处理气体分配系统315可与图1的气体分配板组件110或图2的气体分配板组件200中的任一者一起使用。处理气体分配系统315包括气体管线320,气体管线320分成中心输送区域700、中间输送区域705和外部输送区域710。区域700、705和710的各者可以是本说明书所述的递归式的。
图8是可用作图1的气体分配板组件110或图2的气体分配板组件200的气体分配板组件800的一部分的等距分解图。气体分配板组件800包括底板210,底板210如本说明书所述可由铝或硅渗入的金属基质复合物制成。气体分配板组件800亦包括穿孔面板125,穿孔面板125可通过黏接层805耦接至底板210。黏接层805可以是有机结合材料或扩散黏接剂。
图9是可用作图1的气体分配板组件110或图2的气体分配板组件200的气体分配板组件900的另一个实施例的分解截面图。气体分配板组件900包括主体142。主体142可由铝制成,且包括热控制导管150A和150B(在图9中未图示)。根据此实施例的气体分配板组件900包括如本文所述的由SiSiC材料制成的底板210。底板210可包括如本文所述的分配通道164和/或分支导管214(皆未图示)。穿孔面板125可通过黏接层144耦接至底板210。根据此实施例的黏接层144是铝(Al)或铝/硅合金(AlSi)材料。黏接层144可以是扩散黏接剂。可在约摄氏550度至约摄氏600度提供黏接层144。黏接层144可具有约10密耳(约0.25毫米)的厚度905。
尽管前面针对本公开的实施例,但在不背离本公开基本范围的情况下可设计本公开的其他与进一步的实施例,且本公开的范围由随后的权利要求确定。

Claims (15)

1.一种气体分配板组件,包括:
底板,所述底板包含金属基质复合物;及
穿孔面板,所述穿孔面板包含硅晶片,所述硅晶片通过黏接层耦接至所述底板,其中所述硅晶片被掺杂以向所述穿孔面板提供导电性质,且其中所述黏接层包括多个气体分配通道,所述多个气体分配通道形成在所述黏接层中,所述多个气体分配通道耦接至穿过所述底板形成的气体导管,并且其中所述底板和所述穿孔面板具有类似的热导率。
2.如权利要求1所述的气体分配板组件,其中所述黏接层包括铝硅合金或铝材料。
3.如权利要求1所述的气体分配板组件,其中所述底板的所述金属基质复合物中包括以下各者中的一个:硅、铝或铝硅合金。
4.如权利要求1所述的气体分配板组件,其中所述黏接层包括扩散黏接剂。
5.如权利要求1所述的气体分配板组件,其中包含铝材料的主体耦接至所述底板,并且所述气体导管穿过所述主体形成。
6.如权利要求1所述的气体分配板组件,其中所述气体导管包括多个形成在所述底板中的分支导管通道。
7.一种气体分配板组件,包括:
主体;
穿孔面板,所述穿孔面板包含硅晶片,所述硅晶片通过底板耦接至所述主体,其中所述硅晶片被掺杂以向所述穿孔面板提供导电性质;及
黏接层,所述黏接层设置在所述底板和所述穿孔面板之间,
其中所述底板和所述穿孔面板具有类似的热导率。
8.如权利要求7所述的气体分配板组件,其中所述黏接层包括有机黏接剂。
9.如权利要求7所述的气体分配板组件,其中气体通道形成在所述底板中。
10.如权利要求7所述的气体分配板组件,其中所述底板包括硅渗入的金属基质复合物。
11.如权利要求10所述的气体分配板组件,其中气体通道形成在所述底板及所述黏接层中。
12.如权利要求10所述的气体分配板组件,其中所述穿孔面板扩散黏接至所述黏接层。
13.如权利要求10所述的气体分配板组件,其中所述黏接层与所述底板和所述穿孔面板相接触。
14.如权利要求10所述的气体分配板组件,其中热垫片设置在所述主体与所述底板之间。
15.如权利要求7所述的气体分配板组件,进一步包括与所述主体的一部分耦接的递归式流体供应。
CN201680084797.5A 2016-06-15 2016-12-22 用于高功率等离子体蚀刻处理的气体分配板组件 Active CN109075059B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662350598P 2016-06-15 2016-06-15
US62/350,598 2016-06-15
PCT/US2016/068276 WO2017218044A1 (en) 2016-06-15 2016-12-22 Gas distribution plate assembly for high power plasma etch processes

Publications (2)

Publication Number Publication Date
CN109075059A CN109075059A (zh) 2018-12-21
CN109075059B true CN109075059B (zh) 2023-12-01

Family

ID=60659793

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680084797.5A Active CN109075059B (zh) 2016-06-15 2016-12-22 用于高功率等离子体蚀刻处理的气体分配板组件

Country Status (6)

Country Link
US (1) US11043360B2 (zh)
JP (2) JP6710783B2 (zh)
KR (1) KR102251209B1 (zh)
CN (1) CN109075059B (zh)
TW (2) TWI729871B (zh)
WO (1) WO2017218044A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10690589B2 (en) * 2017-07-28 2020-06-23 Kla-Tencor Corporation Laser sustained plasma light source with forced flow through natural convection
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
WO2020010153A1 (en) 2018-07-05 2020-01-09 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
USD936187S1 (en) * 2020-02-12 2021-11-16 Applied Materials, Inc. Gas distribution assembly lid
JP7446145B2 (ja) * 2020-04-07 2024-03-08 東京エレクトロン株式会社 基板処理装置
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US11371148B2 (en) * 2020-08-24 2022-06-28 Applied Materials, Inc. Fabricating a recursive flow gas distribution stack using multiple layers
US20220093362A1 (en) * 2020-09-22 2022-03-24 Applied Materials, Inc. Showerhead assembly with recursive gas channels
KR20220095677A (ko) * 2020-12-30 2022-07-07 세메스 주식회사 온도 측정 유닛을 포함하는 공정 챔버 및 온도 측정 유닛을 포함하는 기판 처리 장치
WO2023283375A1 (en) * 2021-07-08 2023-01-12 Applied Materials, Inc. Showerhead assembly with recursive gas channels
JP2023123190A (ja) 2022-02-24 2023-09-05 東京エレクトロン株式会社 基板処理装置

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
CN1696768A (zh) * 2004-05-12 2005-11-16 应用材料股份有限公司 采用气体扩散板通道设计的等离子体均匀度控制
JP2007194507A (ja) * 2006-01-20 2007-08-02 Tokyo Electron Ltd プラズマ発生用の電極及びプラズマ処理装置
US7659197B1 (en) * 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
JP2012069867A (ja) * 2010-09-27 2012-04-05 Tokyo Electron Ltd 電極及びプラズマ処理装置
JP2012199486A (ja) * 2011-03-23 2012-10-18 Mitsubishi Materials Corp プラズマ処理装置用電極板
CN102859035A (zh) * 2009-09-30 2013-01-02 东京电子株式会社 用于在凹陷特征中的连续钌膜上多步骤镀铜的方法
CN103348461A (zh) * 2010-12-22 2013-10-09 应用材料公司 硅晶圆上的直通硅穿孔的制造
CN103460344A (zh) * 2011-04-11 2013-12-18 应用材料公司 刷新气体分配板表面的方法与设备

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
JP2009188173A (ja) * 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
TWI762170B (zh) * 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
PL2581397T3 (pl) 2011-10-14 2015-05-29 Allnex Ip Sarl Sposób wytwarzania niskolepkich, rozcieńczalnych wodą uretano(met)akrylanów
US20130288037A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
CN103334092B (zh) * 2013-06-13 2015-04-22 中国电子科技集团公司第四十八研究所 一种用于金属有机化学气相沉积反应器的管道冷却式气体分布装置
KR101632376B1 (ko) * 2014-10-14 2016-07-04 참엔지니어링(주) 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP5800972B1 (ja) * 2014-09-10 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、ガス供給ユニット、カートリッジヘッド及びプログラム

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
CN1696768A (zh) * 2004-05-12 2005-11-16 应用材料股份有限公司 采用气体扩散板通道设计的等离子体均匀度控制
JP2007194507A (ja) * 2006-01-20 2007-08-02 Tokyo Electron Ltd プラズマ発生用の電極及びプラズマ処理装置
US7659197B1 (en) * 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
CN102859035A (zh) * 2009-09-30 2013-01-02 东京电子株式会社 用于在凹陷特征中的连续钌膜上多步骤镀铜的方法
JP2012069867A (ja) * 2010-09-27 2012-04-05 Tokyo Electron Ltd 電極及びプラズマ処理装置
CN103348461A (zh) * 2010-12-22 2013-10-09 应用材料公司 硅晶圆上的直通硅穿孔的制造
JP2012199486A (ja) * 2011-03-23 2012-10-18 Mitsubishi Materials Corp プラズマ処理装置用電極板
CN103460344A (zh) * 2011-04-11 2013-12-18 应用材料公司 刷新气体分配板表面的方法与设备

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
GaAs背面通孔刻蚀技术研究;陈震等;《功能材料与器件学报》;第10卷(第2期);全文 *

Also Published As

Publication number Publication date
TWI720110B (zh) 2021-03-01
CN109075059A (zh) 2018-12-21
JP2020145471A (ja) 2020-09-10
KR102251209B1 (ko) 2021-05-11
WO2017218044A1 (en) 2017-12-21
JP2019523995A (ja) 2019-08-29
US11043360B2 (en) 2021-06-22
US20170365443A1 (en) 2017-12-21
JP6710783B2 (ja) 2020-06-17
TW202044931A (zh) 2020-12-01
KR20190002738A (ko) 2019-01-08
TWI729871B (zh) 2021-06-01
TW201801569A (zh) 2018-01-01

Similar Documents

Publication Publication Date Title
CN109075059B (zh) 用于高功率等离子体蚀刻处理的气体分配板组件
KR102567808B1 (ko) 고온 프로세스들을 위한 기판 지지 어셈블리
KR102506457B1 (ko) 고온 프로세스들을 위한 정전 척 어셈블리
US8536494B2 (en) Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7244311B2 (en) Heat transfer system for improved semiconductor processing uniformity
US11302520B2 (en) Chamber apparatus for chemical etching of dielectric materials
KR102652012B1 (ko) 웨이퍼 프로세싱 시스템들을 위한 열 관리 시스템들 및 방법들
US20200035535A1 (en) Metal bonded electrostatic chuck for high power application
US11784080B2 (en) High temperature micro-zone electrostatic chuck
US11482444B2 (en) High temperature micro-zone electrostatic chuck

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant