CN102859035A - 用于在凹陷特征中的连续钌膜上多步骤镀铜的方法 - Google Patents

用于在凹陷特征中的连续钌膜上多步骤镀铜的方法 Download PDF

Info

Publication number
CN102859035A
CN102859035A CN2010800536818A CN201080053681A CN102859035A CN 102859035 A CN102859035 A CN 102859035A CN 2010800536818 A CN2010800536818 A CN 2010800536818A CN 201080053681 A CN201080053681 A CN 201080053681A CN 102859035 A CN102859035 A CN 102859035A
Authority
CN
China
Prior art keywords
continuous
copper metal
recess feature
depth
width
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010800536818A
Other languages
English (en)
Inventor
弗兰克·M·切里奥
水野茂
乔纳森·里德
托马斯·本努斯瓦米
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Novellus Systems Inc
ASM Nutool Inc
Original Assignee
Tokyo Electron Ltd
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, ASM Nutool Inc filed Critical Tokyo Electron Ltd
Publication of CN102859035A publication Critical patent/CN102859035A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/161Process or apparatus coating on selected surface areas by direct patterning from plating step, e.g. inkjet
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1651Two or more layers only obtained by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/38Coating with copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • C25D5/50After-treatment of electroplated surfaces by heat-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • C25D5/38Pretreatment of metallic surfaces to be electroplated of refractory metals or nickel

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electrochemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemically Coating (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供一种用于在先进集成电路的凹陷特征(206、207、208、209、211、213、264、275a、275b)中的连续钌金属膜(214)上多步骤镀铜的方法。连续钌金属膜(214)的使用可防止高纵横比(high-aspect-ratio)凹陷特征(206、207、208、209、264、275a、275b)的铜金属填充期间不需要的微观空隙的形成,例如,沟槽(266)和通道(268),并可促使大的铜金属颗粒(233)的形成,包括镀在连续钌金属膜(214)上的连续铜金属层(228)。该大的铜金属颗粒(233)降低填有铜的凹陷特征(206、207、208、209、211、213、275a、275b)的电阻率,并提高集成电路的可靠性。

Description

用于在凹陷特征中的连续钌膜上多步骤镀铜的方法
技术领域
本发明涉及在集成电路制备中镀金属的技术,特别是,涉及一种沉积并使用钌(Ru)膜来以铜(Cu)金属将凹陷特征镀层的处理方法。
背景技术
集成电路(IC)包含各种半导体装置和多个导电的金属路径,其提供电源至半导体装置,并使半导体装置共享和交换信息。在集成电路内,通过使用将金属层彼此绝缘的金属间(intermetal)或层间介电层,金属层被堆在彼此的上部。一般情况下,每个金属层必须形成电接触于至少一个附加的金属层。该电接触通过在分隔金属层的层间介质中蚀刻孔(即通道)来实现,并以金属填充生成的通道来创造互连特征。在层间介质中金属层通常占用被蚀刻的通路。″通道(via)″一般是指任何凹陷特征,如孔、线或其他相似的特征,其形成于介电层内,来提供电连接穿过介电层至介电层下面的导电层。同样,凹陷特征包含连接两个或更多通道的金属层的凹陷特征通常被称为沟槽(trenches)。
集成电路技术的不断发展中的长期目标是减少集成电路的尺寸。集成电路尺寸的减小减少了面积电容并是获得更高速度性能的集成电路的关键。
此外,减少集成电路模具的面积可在集成电路制备中造成更高的产量。
上述优点促使集成电路尺寸的不断缩小。装置性能的增加一般伴随装置面积减少或装置密度的增加。装置密度的增加要求用于形成互连的尺寸的减少,包括较大的纵横比(即深宽比)。当图案基板(晶片)上的最小特征尺寸不断下降时,一些缩小的后果便变得更明显。例如,凹陷特征变得太小导致填有大金属的凹陷特征中的微观空隙不能被接受。当金属线的宽度缩小至更小的亚微米和甚至为纳米尺寸时,电迁移失效,其可能会导致现被公认的金属线打开和被挤压的问题。此外,当金属线的尺寸进一步减小时,金属线电阻率大幅增加,且线电阻率的增加会影响电路性能。
将铜金属引入用于制造集成电路的多层金属化方案可通过大马士革(damascene)镀铜工程被执行,并通过先进的微处理器和特定应用程序电路的制造商正被广泛使用。但是,由于铜金属对介电材料具有较差的附着力,且铜是一种中间带隙的混杂物,容易扩散至常用的类似硅和介电材料的集成电路材料中,因此铜金属不能与介电材料直接接触。此外,氧可以从含氧的介电材料扩散至铜中,从而可降低铜金属的电导率。因此,在集成电路中,扩散阻隔材料被形成在介电材料和其他材料上来包围铜金属,从而防止铜扩散至集成电路材料中。
沉积于晶片基板上的薄钌膜可用于集成电路制备中的镀铜。在过去,介电材料上或扩散阻隔材料上的钌沉积一直存有问题。通过化学气相沉积(CVD)或原子层沉积(ALD)的薄钌膜的沉积往往导致较弱形态的薄钌膜。在过去,直接在薄钌膜上镀铜也一直存有问题。由于薄钌膜中的混杂物、薄钌膜的不连续增长、和/或薄钌膜的较弱的形态/粗糙表面,在常规的薄钌膜上直接镀铜显示出对薄钌膜的较弱的附着力。因此,存在被镀层的铜不均匀地沉积在基板上以及无空隙填充的深宽比特征的问题。此外,常规的后期镀铜退火处理工程被执行来试图在整个铜材料中增长大的铜颗粒,并由此来减少铜材料的电阻,但其仍存在问题,特别是狭窄(<100nm,nm=10-9m)及高纵横比的凹陷特征,特征变得越小使用大的铜颗粒来进行填充就越困难。
此外,未来的半导体器件的尺寸将继续变小至最小特征尺寸,凹陷特征的宽度将继续降低,且深度将继续增加。
因此,需要一种用于以较低表面粗糙度来沉积高纯度连续钌膜从而可与狭窄及高纵横比的凹陷特征的镀铜相结合的方法来解决上述问题。
发明内容
提供一种用于在先进集成电路的凹陷特征中的连续钌金属膜上多步骤镀铜的方法。高纯度连续钌金属膜的使用可防止高纵横比凹陷特征的铜金属填充期间不需要的微观空隙的形成,例如,沟槽(trench)和通道(vias),并促使大的铜金属颗粒的形成,包括镀在连续钌金属膜上的连续铜金属层。该大的铜金属颗粒可降低填有铜的凹陷特征的电阻率,并可提高集成电路的可靠性。
根据本发明的一个实施例,所述方法包括以下步骤:提供基板,所述基板具有形成在其表面的至少一个凹陷特征;通过热化学气相沉积(TCVD)将连续钌金属膜沉积在所述至少一个凹陷特征中,所述热化学气相沉积使用包含Ru3(CO)12前体的工程气体;将连续钌金属膜与镀铜槽接触来允许所述连续钌金属膜上的连续铜金属层的沉积,其中,钌金属膜和所述连续铜金属层一起填充小于100%的所述至少一个凹陷特征的宽度、深度、体积。所述方法进一步包括以下步骤:从所述镀铜槽中去除基板;在非氧化性气体中退火处理所述连续铜金属层来形成退火的连续铜金属层;以及重复接触、去除、和退火处理步骤,从而在所述至少一个凹陷特征中形成退火的附加的铜金属,由此,所述接触、去除、退火处理、和重复的步骤形成至少部分铜填充于所述至少一个凹陷特征中,所述至少一个凹陷特征包括从所述退火的连续铜金属层和所述退火的附加的铜金属形成的所述连续钌金属膜上的大的铜金属颗粒。所述沉积可包括通过使用一氧化碳(CO)载气来沉积实质性的氧-和不含碳的连续钌膜。
根据本发明的另一个实施例,所述方法包括以下步骤:提供基板,所述基板具有形成在其表面的至少一个凹陷特征;通过热化学气相沉积将实质性的氧-和不含碳的连续钌膜沉积在所述至少一个凹陷特征中,所述热化学气相沉积使用包含Ru3(CO)12前体和一氧化碳载气的工程气体;将连续钌金属膜与第一镀铜槽接触来允许所述连续钌金属膜上的连续铜金属层的沉积;从所述第一镀铜槽中去除基板;在非氧化性气体中退火处理所述连续铜金属层来形成退火的连续铜金属层。其中,所述连续钌金属膜和所述连续铜金属层一起填充所述至少一个凹陷特征至第一宽度、深度、体积,所述第一宽度、深度、体积小于100%的所述至少一个凹陷特征的宽度、深度、体积。所述方法进一步包括以下步骤:将所述退火的连续铜金属层与第二镀铜槽接触来允许附加的铜金属层的沉积,从而至少部分填充所述至少一个凹陷特征;从所述第二镀铜槽中去除基板;以及在非氧化性的条件下退火处理所述附加的铜金属层。在此实施例中,所述第二镀铜槽具有不同于所述第一镀铜槽的化学组成,由此,比起所述连续铜金属层,所述附加的铜金属层以更快的速度沉积,且,所述附加的铜金属层进一步填充所述至少一个凹陷特征至第二宽度、深度、体积,所述第二宽度、深度、体积大于第一宽度、深度、体积,并小于或等于100%的所述至少一个凹陷特征的宽度、深度、体积。且此方法从所述退火的连续铜金属层和附加的铜金属将大的铜金属颗粒形成在所述连续钌金属膜上。
根据本发明的又另一个实施例,提供一种在部分制备的集成电路中填充大马士革特征的方法,所述方法包括以下步骤:通过热化学气相沉积将实质性的氧-和不含碳的连续钌膜沉积在部分制备的集成电路的至少一个凹陷特征中的扩散阻隔上,所述热化学气相沉积使用包含Ru3(CO)12前体和一氧化碳载气的工程气体;在范围为100℃至500℃的基板温度下,将所述连续钌金属膜在非氧化性气体中退火处理,所述非氧化性气体包括惰性气体或氢气、或其中的组合。所述方法进一步包括以下步骤:将所述部分制备的集成电路或其中的至少一部分浸入第一镀铜槽中,来允许所述连续钌金属膜上的连续铜金属层的沉积;从所述第一镀铜槽中去除所述部分制备的集成电路;在范围为100℃至500℃的基板温度下,将所述连续铜金属层在非氧化性气体中退火处理,所述非氧化性气体包括惰性气体或氢气、或其中的组合。其中,所述连续钌金属膜和所述连续铜金属层一起填充所述至少一个凹陷特征至第一宽度、深度、体积,所述第一宽度、深度、体积小于100%的所述至少一个凹陷特征的宽度、深度、体积。所述方法进一步包括以下步骤:将所述部分制备的集成电路或其中的至少一部分重新浸入第二镀铜槽中,来允许附加的铜金属的沉积,从而至少部分填充所述至少一个凹陷特征至第二宽度、深度、体积,所述第二宽度、深度、体积大于所述第一宽度、深度、体积,并小于或等于所述至少一个凹陷特征的宽度、深度、体积;从所述第二镀铜槽中去除所述部分制备的集成电路;以及在范围为100℃至500℃的基板温度下,将所述附加的铜金属在非氧化性气体中退火处理,所述非氧化性气体包括惰性气体或氢气、或其中的组合,由此,大的铜金属颗粒从所述退火的连续铜金属层和所述退火的附加的铜金属被形成在所述连续钌金属膜上。
附图说明
在附图中:
图1A-1L是示意性地示出根据本发明的实施例的用于在凹陷特征中的连续钌膜上镀铜的工程的横断面视图;
图2A和2B是示意性地示出根据本发明的实施例的附加的凹陷特征的横断面视图;
图3是示出根据本发明的一个实施例的用于在凹陷特征中的连续钌膜上镀铜的的工程流程图;
图4是示出根据本发明的一个实施例的用于在凹陷特征中的连续钌膜上镀铜的工程流程图;
图5A和5B是示出填有铜的沟槽的侧视透射电子显微照片(TEMs),其中,填有铜的沟槽包含通过物理气相沉积(PVD)沉积在连续钌金属膜上的铜晶种层;
图5C是示出根据本发明的一个实施例的填有铜的沟槽的侧视透射电子显微照片,其中,填有铜的沟槽包含连续钌膜上的镀铜金属层;
图6是示出根据本发明的一个实施例的用于沉积钌金属膜的热化学气相沉积(TCVD)系统的示意图;
图7是示出根据本发明的另一个实施例的用于沉积钌金属膜的另一个热化学气相沉积(TCVD)系统的示意图;和
图8是示出根据本发明的一个实施例的用于镀铜和退火处理的处理系统的示意图。
具体实施方式
在此,通过一些实施例公开用于在先进集成电路中的凹陷特征中的连续钌金属膜上进行铜金属镀层的方法。连续钌金属膜的使用可消除或至少实质地减少在高纵横比的凹陷特征的铜金属填充过程所形成的微观空隙,例如,沟槽和通道。连续的钌金属膜提供优越的附着力至镀在凹陷特征中的连续钌金属膜上的薄连续铜金属层。该连续附着力出乎意料地允许增强的铜颗粒渗透至凹陷特征中以及凹陷特征中整个铜金属包括连续铜金属层的大的铜颗粒的增长。大的铜颗粒降低凹陷特征中填充的铜的电阻率,并增加集成电路的可靠性。
在此,通过图1-8被说明的本发明实施例,其应被理解为图1和图2示出的集成电路装置中的凹陷特征,不是实际的计划或是任何实际集成电路装置的特定部分的横断面视图。在实际的装置中,膜和层可能不是常规的且厚度可能具有不同的比例。该形状为优选的表现,且用于更清楚和完整地来解释本发明的实施例。
此外,通过使用本发明的实施例被制备的形状仅代表无数变化中的一个装置。过程通过图1-8在说明书中被说明;但是,需说明根据本发明的实施例的方法可通过不同于在此详细说明的的构造、系统、和制备过程被执行。在此描述的实施例仅为示范例,并不限制发明的范围,其由后附的权力要求被定义。
贯穿本说明书中的″一个实施例″或″实施例″是指描述的与实施例有关的特定特征、构造、材料、或特性被包括在本发明的至少一个实施例中,但不表示其存在于每一个实施例中。因此,在贯穿本说明中的多个地方出现的短语″在一个实施例中″或″在实施例中″不一定是指本发明的相同实施例。
图1A是示意性地示出本技术中已知的简单的凹陷特征。
图1B至1L是示意性地示出根据本发明的实施例的用于在凹陷特征中的连续钌膜上镀铜的工程的。
图1A示意性地示出中间阶段制备中的集成电路的一部分205的横断面视图。所述部分205显示出包含该具有表面203的凹陷特征206的层210。例如层210可包含Si基板或介电层,例如,介电层210可包含SiO2和低介电常数(low-k)介电材料,如氟硅玻璃(FSG)、碳掺杂硅氧化物(例如,CORALTM)、碳掺杂硅氮化、SiCOH或含SiCOH的低介电常数材料、非多孔的低介电常数材料、多孔的低介电常数材料、CVD低介电常数材料、旋涂介电的(SOD)低介电常数材料或任何其他适当的介电材料。介电层210还可包括非含硅低介电常数材料代替或补充含硅的介电材料,例如,市场上销售的如SILKTM公司的高分子的含碳氢氧的介电材料。
例如,凹陷特征206可以是具有大于或等于2∶1的长宽比的沟槽(trench)或通道(via),例如3∶1、4∶1、5∶1、6∶1、12∶1、15∶1或更高。沟槽/通道可具有约200nm的宽度或更小,例如150nm、100nm、65nm、45nm、32nm、22nm或更小。但是,由于可能使用其他的长宽比和沟槽/通道的宽度,因此,本发明的实施例并不局限于该长宽比或沟槽/通道的宽度。虽然为了清楚起见,在图1中仅示出一个凹陷特征206,但该领域的技术人员将容易意识到包含大量凹陷特征的常规集成电路和本发明的实施例可容易地应用于包含任何数量凹陷特征的集成电路中。凹陷特征的附加实例将在图2A和2B中被示出。
图1B示出包含扩散阻隔膜212的凹陷特征207,该扩散阻隔膜212被形成在图1A的凹陷特征206中,例如,扩散阻隔膜212可包含含钽(Ta)的膜(例如,钽(Ta)、氮化钽(TaN)、碳化钽(TaC)、或碳氮化钽(TaCN));含钛(Ti)的膜(例如,钛(Ti)、氮化钛(TiN)、碳化钛(TiC)、或碳氮化钛(TiCN));或含钨(W)的膜(例如,钨(W)、钨氮化(WN)、碳化钨(WC)或碳氮化钨(WCN));或其中的组合。该组合可包括两个或更多的单独的Ta、TaN、Ti、TiN、或WN膜,例如Ta/TaN、Ti/TiN、TaN/TiN、或TaN/WN。例如,扩散阻隔膜212的厚度可为约1nm至10nm,或约2nm至5nm,例如约4nm。通过作为本领域中的一个普通技术的多种不同的沉积方法,扩散阻隔膜212可被沉积在膜沉积系统中,该沉积方法包括PVD、离子化的PVD(iPVD)、TCVD、脉冲的CVD、等离子增强的化学气相沉积(PECVD)、醛固酮、等离子增强的醛固酮(PEALD)、或溅射方法,但并不局限于此。在一个实施例中,扩散阻隔膜212可被一致地形成在高纵横比的凹陷特征207中。
多种含Ta、Ti、或W的前体可用来沉积扩散阻隔膜212。含Ta的前体的典型范例包括Ta(NMe2)5(戊基(二甲氨基)钽,PDMAT)、Ta(NEtMe)5(戊基(乙甲氨基)钽,PEMAT),(tBuN)Ta(NMe2)3(叔-丁亚氨基三(二甲氨基)钽,TBTDMT)、(tBuN)Ta(NEt2)3(叔-丁亚氨基三(二乙氨基)钽,TBTDET)、(tBuN)Ta(NEtMe)3(叔-丁亚氨基三(乙基甲氨基)钽,TBTEMT)、(EtMe2CN)Ta(NMe2)3(叔-戊亚氨基(二甲氨基)钽,TAIMATA)、(′PrN)Ta(NEt2)3(异丙亚氨基三(二乙氨基)钽,IPTDET)、Ta2(OEt)10(五-乙醇钽,TAETO)、(Me2NCH2CH2O)Ta(OEt)4(二甲氨基钽四-乙醇,TATDMAE),和TaCI5(五氯化钽)。含Ti的前体的典型范例包括Ti(NEt2)4(四(二乙氨基)钛,TDEAT)、Ti(NMeEt)4(四(乙基甲氨基)钛,TEMAT)、Ti(NMe2)4(四(二甲氨基)钛,TDMAT)、Ti(THD)3(三(2,2,6,6-四甲基-3,5-庚二醇)钛),和TiCI4(四氯化钛)。含W的前体的典型范例包括W(CO)6(钨羰基)、WF6(钨六氟化),和(tBuN)2W(NMe2)2(二(叔-丁亚氨基二(二甲氨基)钨,BTBMW)。在上述的前体中,其使用以下的缩写:Me为甲基;Et为乙酯;′Pr为异丙;fBu为叔-丁基;和THD为2,266-四甲基-3,5-庚二醇。根据一些实例,在沉积扩散阻隔膜212时,可利用类似氨(NH3)或肼(N2H4)的含氮气体作为氮源。根据本发明的实施例,扩散阻隔膜212可被省略。
图1C示出包含钌金属膜214的凹陷特征208,钌金属膜214形成在凹陷特征207的可选的扩散阻隔膜212上。例如,钌金属膜214的厚度可在0.5nm至10nm的范围内、2nm至5nm的范围内、或3nm至4nm的范围内,例如约2nm。
钌金属膜214可通过使用Ru3(CO)12前体和一氧化碳载气的化学气相沉积(CVD)和热化学气相沉积(TCVD)工程被沉积。一氧化碳载气可用于有效地将Ru3(CO)12前体蒸气从金属前体蒸发系统传输至被配置为将钌金属膜沉积在基板上的工程室中。通过防止或最小化在金属前体蒸发系统中以及金属前体蒸发系统和钌金属沉积室之间的气体管道中的Ru3(CO)12前体的过早分解,一氧化碳载气的使用可实现半导体制造中所需的Ru3(CO)12前体蒸气的高传输率。使用Ru3(CO)12前体和一氧化碳载气的钌热化学气相沉积工程的例子在美国专利Nos.7,270,848和7,279,421中被描述,其所有内容被纳入此处作为参考。
沉积钌金属膜214的工程条件可包括:约100℃至400℃、或150℃至250℃范围内的基板温度;和约0.1mTorr至200mTorr、5mTorr至200mTorr、或50mTorr至150mTorr范围内的沉积室压力。固体Ru3(CO)12前体在金属前体蒸发系统中被蒸发,并可在约40℃至150℃范围内或60℃至90℃之间的温度下被维持。在一个实例中,钌金属沉积条件可能包括将固体的Ru3(CO)12前体维持在80℃的温度下或更低;将沉积室压力维持在100mTorr左右;和将基板温度维持在约200℃下。
在热化学气相沉积工程中使用Ru3(CO)12前体使实质性的氧-和不含碳的连续钌金属膜的沉积十分适用于半导体的制造。在一个实例中,2nm厚的钌金属膜被沉积在TaN膜上。根据二次离子质谱(SIMS)检测,该钌金属膜的氧和碳杂质水平为约0.1%,且通过x射线衍射(XRD)检测,平均的钌金属颗粒尺寸约为1.9nm,以及通过原子力显微镜(AFM)检测,均方根(RMS)表面粗糙度为约0.63nm。
据本发明的实施例,可选地,沉积的钌金属膜214可在非氧化性气体中被退火处理来进一步减少氧和碳的杂质,并提高钌金属膜214的材料性能。在图1D中,箭头216表示钌金属膜214的退火处理。该非氧化性气体可包含惰性气体、氢(H2)气、或其中的组合。在一个实例中,钌金属膜214可在由氢气组成的含氢气体中,或在由氢气和惰性气体的组合组成的含氢气体中被退火处理。惰性气体可从氮(N2)气和稀有气体(即,氦、氖、Ar、氪、和氙)中被选择。此外,含氢气体可以是等离子激发或等离子不激发。例如,氢气和惰性气体的组合可以是包含90%的氢或更少,例如80%、60%、20%、10%、5%、或更少,以及平衡惰性气体。含氢气体可包含合成气体或由合成气体组成。合成气通常包含约10%且不超过10%的氢和平衡氮。在实例中,退火处理条件可进一步包括约1Torr至1000Torr范围内或10Torr至100Torr范围内的气体压力;约100℃至500℃范围内或200℃至400℃范围内的基板温度;和约1分钟至30分钟范围内的退火处理时间。但是,本发明的实施例并不局限于上述条件,其也可利用其他的退火处理条件。在本发明的一些实施例中,气体压力可在约0.1Torr至100Torr的范围内,或是0.5Torr至10Torr的范围内。在一个实例中,在1.5Torr和400℃的条件下,如上所述的2nm厚的钌金属膜在合成气体中被退火处理10分钟且该处理进一步将氧-和碳-杂质水平减少至0.1%以下。此外,平均钌金属颗粒的尺寸增加到2.4nm,且RMS表面粗糙度减少至0.5nm。
根据本发明的实施例,图1E示出包含连续铜金属层228的凹陷特征209,该连续铜金属层228被镀在图1D或1C中的凹陷特征208的连续钌金属膜214上。在处理系统中,连续铜金属层228可通过使连续钌金属膜214与第一镀铜槽接触而被形成,其中,该处理系统被配置为用来镀铜和退火处理。镀铜工程可以是电化学的镀铜工程或无电镀铜工程。连续铜金属层228可具有厚度,其范围为约1nm至50nm、2nm至20nm、或2nm至10nm。在一些实例中,连续铜金属层228可具有约2.5nm或约4nm的厚度。根据本发明的实施例,如图1E所示,连续铜金属层228仅部分地填充具铜金属的凹陷特征209,在连续铜金属层228形成之后,将所述部分205从第一个镀铜槽中被去除。
根据发明的一些实施例,钌金属膜214的厚度和/或连续铜金属层228的厚度可小于凹陷特征206的宽度,其允许在一个或更多后续的镀铜步骤中无空隙填充凹陷特征209。例如,对于厚度范围为约2nm至5nm的钌金属膜214和厚度范围为约2nm至10nm的连续铜金属层228,钌金属膜214和连续铜金属层228的结合厚度在约4nm和15nm之间。因此,对于45nm宽的凹陷特征206,凹陷特征209中相结合的钌金属膜214和连续铜金属层228约占凹陷特征206宽度的18%-67%。对于厚度范围为约2nm至5nm的钌金属膜214,和厚度范围为约2.5nm至4nm的连续铜金属层228,凹陷特征209中相结合的钌金属膜214和连续铜金属层228约占凹陷特征206宽度的20%-40%。此外,相似的计算方法可用于其它宽度的凹陷特征206,例如32nm和22nm的宽度。根据本发明的一些实施例,钌金属膜214和连续铜金属层228可占据小于50%、小于40%、小于30%、或甚至不超过20%的凹陷特征206的宽度。同样,在凹陷特征206的深度和体积方面,钌金属膜214和连续铜金属层228一起占据小于100%的凹陷特征206的深度和体积,例如,小于50%、小于40%、小于30%、或甚至不超过20%的凹陷特征206的深度和体积。
根据本发明的实施例,连续铜金属层228的沉积之后,所述部分205可在非氧化性气体中被退火处理来能提高连续铜金属层228的材料性能,包括减少杂质和提高铜颗粒尺寸。在图1F中,箭头236表示连续铜金属层228的退火处理。在一个实例中,连续铜金属层228可在由氢气组成的含氢气体中,或在由氢气和惰性气体的组合组成的含氢气体中被退火处理。惰性气体可从氮(N2)气和稀有气体(即,氦、氖、Ar、氪、和氙)中被选择。此外,含氢气体可以是等离子激发或等离子不激发。例如,氢气和惰性气体的组合可以是包含90%的氢或更少,例如80%、60%、20%、10%、5%、或更少,以及平衡惰性气体。含氢气体可包含合成气体或由合成气体组成。合成气通常包含约10%且不超过10%的氢和平衡氮。在实例中,退火处理条件可进一步包括约1Torr至1000Torr范围内或10Torr至100Torr范围内的气体压力;约100℃至500℃范围内或200℃至400℃范围内的基板温度;和约1分钟至30分钟范围内的退火处理时间。但是,本发明的实施例并不局限于上述条件,其也可利用其他的退火处理条件。在本发明的一些实施例中,气体压力可在约0.1Torr至100Torr的范围内,或是0.5Torr至10Torr的范围内。
根据本发明的实施例,在包含连续铜金属层228的所述部分205退火处理之后,在第二镀铜槽中附加的铜金属层230被镀在退火的连续铜金属层248上。如图1G中所示的实施例,附加的铜金属层230填充凹陷特征209,并包括凹陷特征中下部的附加的铜金属部分235和凹陷特征209的开口之外的上部的附加的铜金属部分240。上部的附加的铜金属240的厚度范围可为100nm至2000nm,或250nm至750nm。
根据本发明的一些实施例,第一镀铜槽和第二镀铜槽可为相同。根据其他的实施例,第一镀铜槽和第二镀铜槽可具有不同的化学组成。例如,还原剂浓度、表面活性剂浓度、源、和铜离子浓度中的一个或更多的不同。根据本发明的一些实施例,第二镀铜槽可被配置为包含比第一镀铜槽浓度更高的铜离子,并能以比第一镀铜槽更快的速度将铜金属镀在凹陷特征中。
附加的铜金属层230的沉积之后,所述部分205可在非氧化性气体中被退火处理来进一步提高附加的铜金属层230的材料性能。退火处理在附加的铜金属层230中形成大的铜颗粒。在图1H中,箭头246表示附加的铜金属层230的退火处理或热处理。非氧化性气体可包括惰性气体或氢气、或其中的组合。在一个实例中,附加的铜金属层230可在由氢气组成的含氢气体中,或在由氢气和惰性气体的组合组成的含氢气体中被退火处理。惰性气体可从氮(N2)气和稀有气体(即,氦、氖、Ar、氪,和氙)中被选择。此外,含氢气体可以是等离子激发或等离子不激发。例如,氢气和惰性气体的组合可以是包含90%的氢或更少,例如80%、60%、20%、10%、5%、或更少,以及平衡惰性气体。含氢气体可包含合成气体或由合成气体组成。在实例中,退火处理条件可进一步包括约1Torr至1000Torr范围内或10Torr至100Torr范围内的气体压力;约100℃至500℃范围内或200℃至400℃范围内的基板温度;和约1分钟至30分钟范围内的退火处理时间。但是,本发明的实施例并不局限于上述条件,其也可利用其他的退火处理条件。在本发明的一些实施例中,气体压力可在约0.1Torr至100Torr的范围内,或是0.5Torr至10Torr的范围内。
图1I示意性地示出退火处理之后的退火的附加的铜金属层232。退火的附加的铜金属层232包括凹陷特征中下部的退火的铜金属部分237和凹陷特征209的开口之外的上部的退火的铜金属部分242。退火的附加的铜金属层232包含大的铜颗粒233,其包括来自退火的连续铜金属层228的铜材料。也就是说,大的铜颗粒233的增长不只是来自附加的铜金属层232,也来自连续的铜金属层228,由此,大的铜颗粒233穿过整个凹陷特征209被形成在连续钌金属膜214上。由于连续铜金属层228和其至连续钌金属膜214的超级连续附着力,通过退火处理大的铜颗粒233可被形成在整个凹陷特征209中。在一般情况下,凹陷特征中的大的铜颗粒233尺寸随上部的退火的铜金属部分242厚度增加一起增加。
图1J示出的所述部205,其中,上部的退火的铜金属部242,以及退火的扩散阻隔膜212和连续钌金属膜214的部分通过化学机械抛光(CMP)方法被去除,从而形成平表面250。
现参照1E、1F和1K,根据本发明的其他实施例,连续铜金属层228的退火处理之后,附加的铜金属的镀层可能只是部分地填充凹陷特征209。图1K示出镀铜工程之后,包含附加的铜金属层230a的部分填充的凹陷特征211。根据本发明的实施例,图1K中,通过镀附加的铜金属填充有铜金属的凹陷特征211的深度和/或体积的百分比可少于50%、小于40%、小于30%、小于20%、或甚至不超过10%。附加的铜金属层230a的镀铜可被重复多次来进一步填充凹陷特征211。每个镀层工程之后进行使用非氧化性气体的退火处理工程。
现参照1E、1F和1L,根据本发明的其他实施例,连续铜金属层228的退火处理之后,附加的铜金属的镀层可大幅度地填充凹陷特征209。图1L示出随镀铜工程,实质性地被填充的包含附加的铜金属层230b的凹陷特征213。根据本发明的实施例,通过镀附加的铜金属填充有铜金属的凹陷特征211的深度和/或体积的百分比可大于50%、大于60%、大于70%、大于80%、或甚至超过90%。附加的铜金属层230a的镀铜可被重复多次来进一步填充凹陷特征213。每个镀层工程之后进行使用非氧化性气体的退火处理工程。
因此,在所有的实施例中,镀附加的铜金属层230、230a、230b来进一步将凹陷特征填充至第二宽度、深度、和体积,该第二宽度、深度、和体积大于连续钌金属膜214和连续铜金属层228填充凹陷特征的第一宽度、深度、和体积。此外,凹陷特征被填充的第二宽度、深度、和体积可小于或等于100%的该凹陷特征的宽度、深度、和体积。此外,第二宽度、深度、和体积可小于100%,且方法可进一步包括按一次或更多的所需次数来重复镀铜和退火处理附加铜金属直到凹陷特征被填充至第三宽度、深度、和体积,例如,约为90%至100%的凹陷特征的宽度、深度、和体积。在一个实施例中,第一宽度、深度、和体积约为20%的凹陷特征的宽度、深度、和体积;第二宽度、深度、和体积大于20%且小于50%的凹陷特征的宽度、深度、和体积;以及第三宽度、深度、和体积为100%的凹陷特征的宽度、深度、和体积。
在图1中示出并说明了一种简单的凹陷特征206,但发明的实施例可应用于集成电路设计中其他类型的的凹陷特征。图2A-2B示意地示出本发明的实施例执行的本技术中已知的其他凹陷特征的横断面示图。图2A及2B中所示的用于形成图案化结构的处理方法是本技术领域中熟知的一种技术。由于其将被认可为本技术领域中一种普通技术,因此本发明的实施例可容易地被应用于图2A及2B所示的凹陷特征。
图2A是示意性地示出双大马士革(dual damascene)互连结构的横断面视图。双大马士革互连结构是集成电路制备技术中已知的普通技术。图2A中示出的双大马士革互连结构包含形成在导电性互连结构262上的双大马士革互连凹陷特征264。双大马士革互连凹陷特征264包含分别具有侧壁268a和底面268b的通道(via)268,和形成在介电膜258中的沟槽266,其中,沟槽266分别包含侧墙266a和底面266b。沟槽266被用于上部的导电性互连结构,且通道268将沟槽266连接至导电性互连结构262。互连结构进一步包括:介电层252和254;围绕导电性互连结构262的阻隔膜260;和刻蚀终止层256。根据一个实施例,按照本发明的方法,双大马士革互连凹陷特征264中阻隔膜(未示图)的沉积之后,至少一部分的阻隔膜可在将钌金属膜(未示图)沉积在双大马士革互连凹陷特征264之前通过等离子刻蚀从底面268b被去除。等离子刻蚀提供来直接将钌金属膜与导电性互连结构262接触。根据另一个实施例,至少一部分的阻隔膜和钌金属膜可通过等离子刻蚀从底面268b被去除,从而提供来直接将铜金属层与双大马士革互连凹陷特征264中的导电性互连结构262接触。
图2B是示意性地示出执行的根据本发明的实施例的另一个集成电路制备技术中已知的图案化结构的横断面视图。该图案化结构包括:形成在介电膜272中的凹陷特征275a;和凹陷特征275a底部栅电极276上的导电层273a。栅电极276作为栅结构进一步包含栅介电膜277。栅介电膜277可包含SiO2、SiOxNy、SiNy或具有大于SiO2(k~3.9)的介电常数的高介电常数(High-k)材料,或其中的组合。高介电常数材料可以包括金属氧化物、金属氮氧化物和上述物质的硅酸盐,例如Ta2O5、TiO2、ZrO2、Al2O3、Y2O3、HfOxNy、HfSiOxNy、HfSiOx、HfO2、ZrO2、ZrSiOx、ZrOxNy、ZrSiOxNy、TaSiOx、SrOx、SrSiOx、LaOx、LaSiOx、YOx、YSiOx、或BaO、或其中两个或更多的组合。
此外,图2B中的图案化结构包括:凹陷结构275b,其被形成在介电膜272上;和导电层273b,其被形成在凹陷特征275b底部基板270中的掺杂基板区域271(例如,漏极或源区)上。例如,基板270可以是200mm的硅晶片、300mm的硅晶片或甚至更大的硅晶片。介电膜272可包含SiO2、SiON、SiN SiO2或具有小于SiO2(k~3.9)的介电常数的低介电常数(low-k)材料。常用的低介电常数材料可包含简单或复杂的硅(Si)、氧(O)、氮(N)、碳(C)、氢(H)、和/或卤素的化合物,也可类似密集或多孔的材料。根据本发明的一个实施例,凹陷特征275a、275b可为具纵横比(深度/宽度)的通道(vias),该纵横比大于或等于2∶1,例如3∶1、4∶1、5∶1、6∶1、12∶1、15∶1或更高。通道可具有约200nm的宽度或更小,例如150nm、100nm、65nm、45nm、32nm、20nm或更小。在一个实例中,凹陷特征275a、275b可以是纵横比约为7的45nm宽的通道。但是,本发明的实施例并不局限于上述纵横比或通道宽度,也可利用其他纵横比或通道宽度。导电层273a、273b可包括硅化的接触层,来提供较薄的稳定的电触头,并可包括:例如CoSi2、PtSi、Pd2Si、TiSi2、WSi2、或NiSi2、或TaSi2,或其中两个或更多的组合。其中,一个组合可包含PtNiSi,且比起NiSi2,PtNiSi允许更高的处理温度的使用。因此,由于其将被认可为本领域中的一个普通技术,所以发明的实施例可容易地应用于图2A-2B中所示出的结构中。
图3是示出根据本发明的一个实施例的用于在凹陷特征中的连续钌膜上镀铜的的工程流程图。应注意的是,在此应用中,″步骤″一词并不限制两个步骤被适时地同时执行或部分重复。在图3中,工程300包括步骤302,提供基板,所述基板具有形成在其表面的至少一个凹陷特征。所述至少一个凹陷特征可包括通道、沟槽、或是其中的组合,并可被形成在硅基板或介电膜中。所述基板表面可包括外露的扩散阻隔层。其中,所述扩散阻隔层可包括:例如钽、氮化钽、碳化钽、钽碳氮化物、钛、氮化钛、碳化钛、碳氮化钛、钨、钨氮化、碳化钨、或碳氮化钨、或其中的组合。
在步骤304中,通过热化学气相沉积,实质性的氧-和不含碳的连续钌金属膜被沉积在凹陷特征中,所述热化学气相沉积使用包含Ru3(CO)12前体的工程气体。其中,所述工程气体可进一步包含一氧化碳载气。所述连续钌金属膜,其厚度范围可为1nm至20nm
在可选的步骤306中,所述连续钌金属膜可在非氧化性气体中被退火处理,所述非氧化性气体可包含惰性气体、氢气、或其中的组合。
在步骤308中,在配置为镀铜和退火处理的处理系统中连续铜金属层被镀在连续钌金属膜上。该镀铜工程可以是电化学的镀铜工程或无电镀铜工程。将连续钌金属膜与镀铜槽接触一段时间,来允许连续铜金属层的沉积,并随后将基板从镀铜槽中去除。
在步骤310中,所述连续铜金属层在非氧化性气体中被退火处理,所述非氧化性气体可包含惰性气体、氢气、或其中的组合。
如工程箭头312所示,镀层及退火处理的步骤308和310可重复任意次数,直到使用大的铜金属颗粒来至少部分填充凹陷特征,其中,大的铜金属颗粒从第一次沉积和退火的连续铜金属层以及附加沉积和退火的铜金属层被形成在连续钌金属膜上。
图4是示出根据本发明的另一个实施例的用于在凹陷特征中的连续钌膜上镀铜的工程流程图。图4中示出的工程400与上述的3中所示的工程300相似。在步骤402中,提供基板,所述基板具有形成在其表面的至少一个凹陷特征。所述至少一个凹陷特征可包括通道、沟槽、或是其中的组合,并可被形成在硅基板或介电膜中。所述基板表面可包括外露的扩散阻隔层。其中,所述扩散阻隔层可包括:例如钽、氮化钽、碳化钽、钽碳氮化物、钛、氮化钛、碳化钛、碳氮化钛、钨、钨氮化、或碳化钨、或碳氮化钨或其中的组合。
在步骤404中,通过热化学气相沉积,实质性的氧-和不含碳的连续钌膜被沉积在凹陷特征中,所述热化学气相沉积使用包含Ru3(CO)12前体的工程气体。其中,所述工程气体可进一步包含一氧化碳载气。所述连续钌膜,其厚度范围可为1nm至20nm
在可选的步骤406中,所述连续钌膜可在非氧化性气体中被退火处理,所述非氧化性气体可包含惰性气体、氢气、或其中的组合。
在步骤408中,在配置为镀铜和退火处理的处理系统中连续铜金属层被镀在连续钌膜上。该镀铜工程可以是电化学的镀铜工程或无电镀铜工程。将连续钌膜与第一镀铜槽接触一段时间,来允许连续铜金属层的沉积,并随后将基板从镀铜槽中去除。
在步骤410中,连续铜金属层在非氧化性气体中被退火处理。非氧化性气体可包含惰性气体、或氢气、或其中的组合。
在步骤412中,在第二个镀铜槽中镀附加的铜金属层。该镀铜工程可以是电化学的镀铜工程或无电镀铜工程。将连续铜金属膜与第二镀铜槽中接触一段时间,来允许附加的铜金属层的沉积,并随后将基板从第二镀铜槽中去除。
在步骤414中,附加的铜金属层在非氧化性气体中被退火处理。非氧化性气体可包含惰性气体、或氢气、或其中的组合。
如工程箭头416所示,镀层及退火处理的步骤412和414可重复任意次数,直到使用大的铜金属颗粒来至少部分填充凹陷特征,包括退火的连续铜金属层和退火的附加的铜金属层。
据本发明的一些实施例,第一镀铜槽和第二镀铜槽可为相同。根据其他的实施例,第一镀铜槽和第二镀铜槽可具有不同的化学组成。例如,还原剂浓度、表面活性剂浓度、源、和铜离子浓度中的一个或更多的不同。根据本发明的一个实施例,第二镀铜槽可被配置为包含比第一镀铜槽浓度更高的铜离子,并能以比第一镀铜槽更快的速度将铜金属镀在凹陷特征中。
图5A和5B是示出填有铜的沟槽的侧视透射电子显微照片(TEMs),其中,填有铜的沟槽包含通过物理气相沉积(PVD)沉积在连续钌金属膜上的常规铜晶种层。该沟槽的宽度为40nm。图5A中的透射电子显微照片要求亮区域模式,且图5B中的透射电子显微照片要求暗区域模式。图5A和5B示出在先进集成电路中的狭窄凹陷特征的镀铜中发现的一些问题。从图5A中可看到,在沟槽中镀层和退火的铜金属包含微观空隙,且由于退火处理和铜金属结晶过程中所形成的大的铜金属颗粒不延伸到沟槽底部,因此从图5b中可清楚地看到,仅有小的铜颗粒存在于沟槽底部附近。也就是说,当常规的PVD铜晶种层沉积在沟槽中,且镀铜金属来填充沟槽时,大的铜颗粒没有被形成在整个沟槽中。由于未来半导体装置的尺寸将继续变小至最小特征的尺寸,因此,对于此问题,具低电阻率和良好可靠性的大的铜颗粒的无空隙铜填充将变得越来越重要。
图5C是示出根据本发明的方法的填有铜的沟槽的侧视透射电子显微照片。该透射电子显微照片是在连续钌金属膜上连续铜金属层的镀层、在非氧化性气体中连续铜金属层的退火处理、退火的铜金属层上附加的铜金属层的镀层、以及在非氧化性气体中附加的铜金属层的退火处理之后,在亮区域模式下被拍摄的。如图5C中所示出的整个镀层和退火的铜金属,其至少是实质性的无空隙,并在连续钌金属膜上的整个沟槽中含有大的铜颗粒。因此,与图5A和5B中所示的铜金属填充不同,图5C中所示出的大的铜颗粒包括退火的连续铜晶种层。图5C中所示的结果清楚地显示该工程步骤出乎意料地允许增强的铜颗粒渗透至沟槽中以及整个沟槽的大的铜颗粒的增长,因此提供了高度可靠的铜金属化工程。大的铜颗粒可降低填充有铜的凹陷特征的电阻率,并可增加集成电路的可靠性。
图6是示出根据本发明的一个实施例的用于从Ru3(CO)12前体蒸气和一氧化碳气体沉积钌金属膜的热化学气相沉积(TCVD)系统的示意图。沉积系统1包括具有基板支架20的工程室10,基板支架20被配置为来支持图案化的基板25以使钌金属膜被形成。耦合工程室10经由蒸气前体输送系统40被耦合至金属前体蒸发系统50。
工程室10进一步穿过导管36被耦合至真空泵系统38,其中,真空泵系统38被配置为来抽空工程室、蒸气前体输送系统40和金属前体蒸发系统50至适合于在图案化的基板25上形成钌金属膜和适合于金属前体蒸发系统50中的Ru3(CO)12前体52蒸发的压力。
还是参照图6,金属前体蒸发系统50被配置为来存储Ru3(CO)12前体52、将Ru3(CO)12前体52加热至足够蒸发Ru3(CO)12前体52的温度,并引导Ru3(CO)12前体52蒸气至蒸气前体输送系统40。在金属前体蒸发系统50中选定的加热条件下,Ru3(CO)12前体52为固体。为了达到所需的温度来升华固体的Ru3(CO)12前体52,金属前体蒸发系统50被耦合至被配置用来控制蒸气温度的蒸气温度控制系统54。
例如,Ru3(CO)12前体52的温度可被提高到约40℃至150℃之间。此外,蒸发温度可维持在约60℃至90℃。当Ru3(CO)12前体52被加热来导致升华时,含一氧化碳的气体越过或穿过Ru3(CO)12前体52在Ru3(CO)12前体蒸气被形成时捕捉Ru3(CO)12前体蒸气。含一氧化碳的气体包含一氧化碳和可选的惰性载气,例如氮(N2),或稀有气体(即,氦、氖、Ar、氪、和氙)或其中的组合。在存在一氧化碳气体的情况下蒸发Ru3(CO)12前体可减少限制Ru3(CO)12前体输送至图案化的基板的问题。其示出,在Ru3(CO)12前体蒸气被形成时加入一氧化碳气体可允许来提高蒸发温度。升高的温度增加了Ru3(CO)12前体的蒸气压力,从而增加了Ru3(CO)12前体至工程室的输送,因此增加了图案化的基板25上的钌金属膜的沉积率。
在一个实例中,金属前体蒸发系统50可以是多盘(multi-tray)蒸发系统被配置用于Ru3(CO)12蒸气的有效蒸发和输送。多盘蒸发系统的实例在2004年11月29日提交的美国专利申请No.10/998,420中被说明,标题为″合为一体的多盘膜前体汽化系统和薄膜沉积系统″。
例如,气体供应系统60被耦合至金属前体蒸发系统50,并被配置为:例如经由馈线(feed line)61在Ru3(CO)12前体52下方或经由馈线62在Ru3(CO)12前体52上方供应一氧化碳、载气、或其中的混合物。此外,气体供应系统60被耦合至蒸气前体输送系统40,其从金属前体蒸发系统50下方通过馈线63在气体进入蒸气前体输送系统40时或进入蒸气前体输送系统40后,来将气体供应给Ru3(CO)12前体52。此外,在将图案化的基板25露于Ru3(CO)12前体蒸气和一氧化碳气体之前,可利用馈线63通过包含一氧化碳的预处理气体来将图案化的基板25预处理并通过吸附的一氧化碳来浸透图案化的基板25被露出的表面。
虽然未示出,但气体供应系统60可包括载气源、一氧化碳气体源、一个或多个控制阀、一个或多个滤波器、和质量流量控制器。例如,载气的流速可为约0.1sccm(standard cubic centimeters per minute)至1000sccm,或是载气的流速可为10sccm至500sccm。此外,含一氧化碳的气体的流速可为50sccm至200sccm。根据本发明的实施例,含一氧化碳的气体的流速可在约0.1sccm至1000sccm的范围内,或是,含一氧化碳的气体的流速可为1sccm至500sccm。
从金属前体蒸发系统50下方,包含工程气体的Ru3(CO)12前体蒸气和一氧化碳气体流穿过蒸气前体输送系统40直至其经由蒸气分配系统30进入工程室10并耦合于其中。蒸气前体输送系统40可被耦合至蒸气管线温度控制系统42来用于控制蒸气管线温度和防止Ru3(CO)12前体蒸气分解,以及Ru3(CO)12前体蒸气的冷凝。蒸气前体输送系统40可被维持在50℃至100℃的温度下。
还是参照图6,作为工程室10的一部分并耦合于工程室10的蒸气分配系统30包括蒸气分配空间32,使蒸气在穿越蒸气分配板34和进入图案化的基板25上方的处理区域33之前分散。此外,蒸气分配板34可耦合至分配板温度控制系统35,被配置为来控制蒸气分配板34的温度。
当气体的包含工程气体的Ru3(CO)12前体蒸气和一氧化碳气体进入工程室10的处理区域33时,由于图案化的基板25的升高的温度,Ru3(CO)12前体蒸气热分解后吸附在基板表面25,且钌金属膜形成在图案化的基板25上。由于基板支架20被耦合于基板温度控制系统22,因此,基板支架20可被配置为来提高图案化的基板25的温度。例如,基板温度控制系统22可被配置为将图案化的基板25的温度提高至约500℃。此外,工程室10可被耦合于室温度控制系统12来控制室壁温度。
还是参照图6,沉积系统1可进一步包括控制系统80被配置用于操作和控制沉积系统1的运作。控制系统80被耦合至工程室10、基板支架20、基板温度控制系统22、室温度控制系统12、蒸气分配系统30、蒸气前体输送系统40、金属前体蒸发系统50、和气体供应系统60。
图7是示出根据本发明的另一个实施例的用于从Ru3(CO)12前体蒸气和一氧化碳气体沉积钌金属膜的另一个热化学气相沉积(TCVD)系统的示意图。沉积系统100包括具有基板支架120的工程室110,基板支架120被配置为来支持图案化的基板125以使钌金属膜被形成。工程室110被耦合至具有金属前体蒸发系统150的前体输送系统105,其中金属前体蒸发系统150被配置为存储和蒸发Ru3(CO)12前体152,且蒸气前体输送系统140被配置用来将Ru3(CO)12前体152的蒸气输送至工程室110。
工程室110包括上部室区111、下部室区112、排气室113。开口114被形成在低部室区112中,其中低部室区112中被耦合于排气室113。
还是参照图7,基板支架120提供水平表面来支持被处理的图案化的基板(或晶片)125。基板支架120可通过从排气室113的下部向上延伸的圆柱形支持件122被支持。此外,基板支架120包括:加热器126,其耦合至基板支架温度控制系统128。加热器126可包含:例如一个或多个电阻加热元件。或者,加热器126也可包括类似卤钨灯的辐射加热系统。基板支架温度控制系统128可包括:电源,其用来提供电力至一个或多个加热元件;一个或多个温变传感器,其用来测量基板温度或基板支架温度,或其两者;和控制器,其被配置为执行监测、调整、或控制图案化的基板125或基板支架120的温度中的至少一个。
在处理过程中,被加热的图案化的基板125可将Ru3(CO)12前体蒸气热分解,并使钌金属膜沉积在图案化的基板125上。基板支架120被加热至预先设定的温度,来适于将所需的钌金属膜沉积到图案化的基板125上。此外,耦合至室温度控制系统121的加热器(未示图)可被嵌入工程室110的壁中,来将室壁加热至预先设定的温度。该加热器可将工程室110的壁的温度保持在约40℃至150℃,或40℃至80℃左右。压力表(未示图)被用于测量工程室压力。根据本发明的实施例,工程室压力可在约1mTorr至500mTorr之间。或是,工程室压力可在约10mTorr至100mTorr之间。
此外,如图7中所示,蒸气分配系统130被耦合至工程室110的上部室区111。蒸气分配系统130包括蒸气分配板131,其被配置为通过孔口134将前体蒸气从蒸气分配空间132引至图案化的基板125上方的处理区133。
此外,在上部室区111提供开口135来将包含Ru3(CO)12前体蒸气和一氧化碳气体的工程气体从蒸气前体输送系统140引至蒸气分配空间132。此外,温度控制元件136,例如被配置为冷却或加热流体的同轴的流体通道,其被用于来控制蒸气分配系统130的温度,从而防止蒸气分配系统130内部Ru3(CO)12前体蒸气的分解或冷凝。例如,类似水的流体,其可从蒸气分配温度控制系统138被供应至流体通道。蒸气分配温度控制系统138可包括流体源;换热器;一个或多个温度传感器,其用于测量流体温度或蒸气分配板温度,或其两者;和控制器,其被配置为来将蒸气分配板131的温度控制在约20℃至150℃。对于Ru3(CO)12前体,蒸气分配板131的温度可被维持在约65℃温度来避免前体冷凝在蒸气分配板131上。
如图7所示,金属前体蒸发系统150被配置为持有Ru3(CO)12前体152并通过升高Ru3(CO)12前体的温度来蒸发(或升华)Ru3(CO)12前体152。其中术语″蒸发″、″升华″和″汽化″可交换地被使用,其指来自固体或液体前体的蒸气(气体)的一般形成,且不管是否转换,例如,从固体至液体至气体、固体至气体、或液体至气体。前体加热器154被用于加热Ru3(CO)12前体152来将Ru3(CO)12前体152维持在适合生产所需Ru3(CO)12前体152蒸气压力的温度下。前体加热器154被耦合至用于控制Ru3(CO)12前体152温度的蒸发温度控制系统156。例如,前体加热器154可被配置为来将Ru3(CO)12前体152的温度调整在约40℃至150℃,或60℃至90℃。
Ru3(CO)12前体152被加热来导致汽化(或升华),从而含一氧化碳的气体可越过或穿过Ru3(CO)12前体152在Ru3(CO)12前体蒸气形成时来捕捉Ru3(CO)12前体蒸气。含一氧化碳的气体包含一氧化碳和可选的惰性载气,例如氮(N2),或稀有气体(即,氦、氖、Ar、氪、和氙)。例如,气体供应系统160被耦合至金属前体蒸发系统150,且其被配置为,例如使一氧化碳气体流过或穿过Ru3(CO)12前体152。虽然没有在图7中示出,但是,气体供应系统160也可被耦合至蒸气前体输送系统140在一氧化碳气体进入蒸气前体输送系统140时或进入蒸气前体输送系统140后,来将一氧化碳气体供应至Ru3(CO)12前体152的蒸气。例如,在将图案化的基板125露于Ru3(CO)12前体蒸气和一氧化碳气体之前,可通过包含一氧化碳的预处理气体来将图案化的基板125预处理并通过吸附的一氧化碳来浸透图案化的基板125被露出的表面。
气体供应系统160可包括:含惰性载气、一氧化碳气体、或其两者的气体源161;一个或多个控制阀162、一个或多个滤波器164、和质量流量控制器165。例如,含一氧化碳的质量流率可为约0.1sccm至1000sccm。
此外,提供传感器166来用于测量来自金属前体蒸发系统150的总气体流量。例如,传感器166可包括质量流量控制器,且输送至工程室110的Ru3(CO)12前体的数量可通过使用传感器166和质量流量控制器165被决定。或是,传感器166可包括光吸收传感器,来测量流至工程室110的气体中的Ru3(CO)12前体的浓度。
旁通管线167可位于传感器166下方,且其可将蒸气前体输送系统140连接至排气线116。旁通管线167被用来疏散蒸气前体输送系统140,并用于稳定一氧化碳气体和Ru3(CO)12前体至工程室110的供应。此外,在旁通管线167上提供旁通阀168,其位于蒸气前体输送系统140的分支的下方。
还是参照图7,蒸气前体输送系统140包括分别具备第一阀门141和第二个阀门的高电导蒸气管线142。此外,蒸气前体输送系统140可进一步包括蒸气管线温度控制系统143,其被配置为通过加热器(未示图)来加热蒸气前体输送系统140。蒸气管线的温度可被控制来避免蒸气管线中的Ru3(CO)12前体蒸气冷凝。蒸气管线的温度可控制在约20℃至100℃或40℃到90℃。
此外,一氧化碳气体可从气体供应系统190被供应。例如,气体供应系统190被耦合至蒸气前体输送系统140,并被配置为,例如,在蒸气前体输送系统140中通过包含一氧化碳气体的预处理气体来预处理图案化的基板125或混合Ru3(CO)12前体蒸气和附加的一氧化碳气体,例如,阀门141下方。气体供应系统190可包括一氧化碳气体源191、一个或多个控制阀192、一个或多个滤波器194、和质量流量控制器195。例如,一氧化碳气体的质量流率可为约0.1sccm至1000sccm。
质量流量控制器165、195和阀门162、192、168、141、142通过控制器196被控制,控制器196控制惰性载气、一氧化碳气体、和Ru3(CO)12前体蒸气的供应、断路和流量。传感器166也连接至控制器196,且基于传感器166的输出,控制器196可控制载体气流穿过质量流量控制器165从而获得所需的Ru3(CO)12前体流至工程室110。
如图7所示,排气线116将排气室113连接至真空泵系统118。真空泵119被用来抽空工程室110以达到所需的真空度,并在处理过程中从工程室110中去除气态物质。自动压力控制器(APC)115和捕集器(trap)117可用来与真空泵119成为系列。真空泵119可包括涡轮分子泵(TMP),其泵送速度可达每秒500liters(和更大)。或是,真空泵119可包括干粗泵(dry roughing pump)。在处理过程中,工程气体可被引入工程室110,且室压力可通过自动压力控制器115被调节。自动压力控制器115可包括蝴蝶型阀门或闸阀。捕集器117可从工程室110收集未反应的Ru3(CO)12前体材料和副产物。
再参照工程室110中的基板支架120,如图7所示,三个基板升杆(lift pins)127(仅示出两个)被用来支持、提高、和降低图案化的基板125。基板升杆127被耦合至板123,并可下降至低于基板支架120的上部表面。利用驱动机制129,例如气缸,来提供升高和降低板123的手段。图案化的基板125可经由机械手传送系统(未示图)来通过闸阀200和室直通通道202被传送至工程室110或传送出工程室110并通过升杆127被接收。当图案化的基板125从传送系统被接收时,其可通过降低升杆127被降低至基板支架120的上部表面。
还是参照图7,沉积系统的控制器180包括微处理器、存储器、和数码I/O端口,不但能产生足够的控制电压来通讯和启动沉积系统100的输入,还能监视从沉积系统100的输出。此外,控制器180被耦合至:工程室110;包括控制器196、蒸气管线温度控制系统143、和蒸发温度控制系统156的前体输送系统105;蒸气分配温度控制系统138;真空泵系统118;和基板支架温度控制系统128,并与上述系统交换信息。在真空泵系统118中,控制器180被耦合至自动压力控制器115并与其交换信息来控制工程室110中的压力。根据存储的工程配方,利用存储在存储器中的程序来控制上述的沉积系统100的组件。
控制器180可作为一般目的的计算机系统,执行本发明的基于微处理器的处理步骤中的一部分或全部,来响应执行包含在存储器中的一个或多个指令的一个或多个序列的处理器。该指令可从另一个类似硬盘或移动式媒体驱动器的计算机可读媒体被读入至控制器的存储器中。多处理(multi-processing)配置中的一个或多个处理器还可作为控制器的微处理器来执行包含在主存储器中的指令的序列。在可选的实施例中,硬连接的电路可用来代替软件指令或与软件指令相结合。因此,本发明的实施例并不局限于任何特定的硬件电路的和软件的特定组合。
控制器180包括至少一个计算机可读的媒体或存储器,如控制器的存储器,用于根据本发明的记载来保持被编程的指令,并包括执行本发明所需的数据结构、表、记录或其他数据。计算机可读媒体可以是光盘、硬盘、软盘、磁带、磁光盘、可编程只读存储器PROMs(EPROM、EEPROM、闪存、EPROM)、动态随机存取存储器、同步动态存储器、静态随机存储器、或任何其他磁介质;光盘(如CD-ROM)或任何其它光学介质;穿孔卡,胶带、或其他具有孔图案的物理性介质;载波(如下所述)或计算机可读的任何其它介质。
本发明包括存储在任何一个计算机可读媒体上或计算机可读媒体的组合上的软件,其控制控制器180、驱动本发明的装置或用于实施本发明的装置、和/或使控制器与用户进行交互。该软件可包括装置驱动器、操作系统、开发工具、和应用程序软件,但并不局限于此。该计算机可读媒体进一步包括本发明的计算机程序产品,用于执行本发明中实行的全部或部分(如分配式处理)处理过程。
本发明的计算机代码装置可以是任何可解读或可执行的代码机制,包括程式、可解读的程序、动态链接库(DLLs)、Java类、和完全可执行程序。此外,本发明的处理过程中的一部分可被分配来实现更理想的性能、可靠性、和/或成本。
在此使用的术语″计算机可读媒体″是指参与向控制器180的处理器提供用于执行指令的任何媒体。计算机可读媒体可采用各种形式,包括非易失性媒体、挥发性媒体和传输媒体,但并不局限于此。非易失性媒体包括光盘、磁盘、和磁光盘,例如硬盘或可移动媒体驱动器;挥发性媒体包括动态存储器,例如主存储器。此外,计算机可读媒体的多种形式可以是涉及对控制器的处理器执行一个或多个指令的一个或多个序列来用于运行。例如,指令可能最初被载于远程计算机的磁盘上。远程计算机可装载指令用于在动态存储器中远程地实施本发明的全部或部分,并通过网络向控制器180发送指令。
控制器180可相对沉积系统100位于本地或相对沉积系统100远程地被安置。例如,控制器180可通过使用直接连接、内联网、互联网或无线连接中的至少一个来与沉积系统100交换数据。例如,控制器180可耦合至客户站点(即,装置生产商等)的内联网中,或是耦合至供应商站点(即,设备制造商)的内联网中。此外,控制器180可耦合至互联网。进一步,通过直接连接、内联网、和互联网中的至少一个,另一种计算机(即,控制器、服务器等)可访问控制器180来交换数据。此外,控制器180也可通过无线连接来与沉积系统100交换数据。
图8是示出根据本发明的一个实施例的用于镀铜和退火处理的处理系统的示意图。处理系统700包括用于装载和卸载的装载模块701、702;铜镀模块711-716、和退火处理模块720、721。镀铜模块711-716可被配置为电化学的镀铜或无电镀铜,并可具有不同的化学组成。例如,还原剂浓度、表面活性剂浓度、源、和铜离子浓度中的一个或更多的不同的化学组成。退火处理模块720、721可被配置为在一个或多个镀铜过程之前、之间、或之后,将基板和膜在非氧化性气体中退火处理。
在先进集成电路中的凹陷特征中的连续钌膜上镀铜的多个实施例在多种实施例形式中被公开。实质性的氧-和不含碳的连续钌金属膜的使用可帮助防止高纵横比凹陷特征的铜金属填充期间不需要的微观空隙的形成,例如,沟槽和通道,并可形成大的铜金属颗粒,包括镀在连续钌金属膜上的铜金属层和镀在退火的铜金属层上的附加的铜金属。大的铜颗粒降低了填有铜的凹陷特征的电阻率,并提高了集成电路的可靠性。
如上所示,本发明虽然已参照附图和实施例进行了说明,但是本发明并不局限于在此公开的形式。说明书和权利要求中所包括的术语仅作为说明的目的,并不用于来局限本发明。例如,在此使用的″上(on)″(包括权利要求书)不一定需要图案化的基板“上”的膜直接在基板上或间接地接触基板,其可以是膜和基板之间的第二膜或其他结构。
在本发明所属领域中的技术人员均可以从此记载中进行各种修改和变形。本技术领域中的技术人员可对附图中所示的组件进行各种等效的组合和替换。因此,本发明的范围不受说明的实施例的局限或定义,而是由后附的权利要求范围以及权利要求范围等同内容定义。

Claims (30)

1.一种在基板表面上填充特征的方法,所述方法包括以下步骤:
提供基板,所述基板具有形成在其表面的至少一个凹陷特征,所述至少一个凹陷特征具备宽度、深度、和体积;
通过热化学气相沉积将连续钌膜沉积在所述至少一个凹陷特征中,所述热化学气相沉积使用包含Ru3(CO)12前体的工程气体;
将连续钌金属膜与镀铜槽接触来允许所述连续钌金属膜上的连续铜金属层的沉积,其中,钌金属膜和所述连续铜金属层一起填充小于100%的所述至少一个凹陷特征的宽度、深度、体积;
从所述镀铜槽中去除基板;
在非氧化性气体中退火处理所述连续铜金属层来形成退火的连续铜金属层;以及
重复接触、去除、和退火处理步骤,从而在所述至少一个凹陷特征中形成退火的附加的铜金属,由此,所述接触、去除、退火处理、和重复的步骤形成至少部分铜填充于所述至少一个凹陷特征中,所述至少一个凹陷特征包括从所述退火的连续铜金属层和所述退火的附加的铜金属形成的所述连续钌金属膜上的大的铜金属颗粒。
2.如权利要求1所述的方法,其中,所述沉积步骤包括通过热化学气相沉积来沉积实质性的氧-和不含碳的连续钌膜的步骤,所述热化学气相沉积使用包含Ru3(CO)12前体和一氧化碳载气的工程气体。
3.如权利要求1所述的方法,其中,所述基板表面包括外露的扩散阻隔层。
4.如权利要求3所述的方法,其中,所述扩散阻隔层包括钽、氮化钽、碳化钽、钽碳氮化物、钛、氮化钛、碳化钛、碳氮化钛、钨、钨氮化、碳化钨、或碳氮化钨、或其中的组合。
5.如权利要求1所述的方法,其中,所述连续钌金属膜,其厚度范围为1nm至20nm。
6.如权利要求1所述的方法,其中,退火处理所述连续铜金属层的步骤包括:
在范围为100℃至500℃的基板温度下,将所述连续铜金属层露于氩气、氮气、或氢气,或其中的组合中。
7.如权利要求1所述的方法,其中,所述至少一个凹陷特征包括部分制备的集成电路上的通道、沟槽、或是通道和沟槽全部。
8.如权利要求1所述的方法,其中,所述接触步骤包括电化学的镀铜工程或无电镀铜工程。
9.如权利要求1所述的方法,进一步包括:
在范围为100℃至500℃的基板温度下,将所述连续钌金属膜在非氧化性气体中退火处理,所述非氧化性气体包含惰性气体、或氢气、或其中的组合。
10.一种在基板表面上填充特征的方法,所述方法包括以下步骤:
提供基板,所述基板具有形成在其表面的至少一个凹陷特征,其中所述至少一个凹陷特征具备宽度、深度、和体积;
通过热化学气相沉积将实质性的氧-和不含碳的连续钌膜沉积在所述至少一个凹陷特征中,所述热化学气相沉积使用包含Ru3(CO)12前体和一氧化碳载气的工程气体;
将连续钌金属膜与第一镀铜槽接触来允许所述连续钌金属膜上的连续铜金属层的沉积,其中,所述连续钌金属膜和所述连续铜金属层一起填充所述至少一个凹陷特征至第一宽度、深度、体积,所述第一宽度、深度、体积小于100%的所述至少一个凹陷特征的宽度、深度、体积;
从所述第一镀铜槽中去除基板;
在非氧化性气体中退火处理所述连续铜金属层来形成退火的连续铜金属层;
将所述退火的连续铜金属层与第二镀铜槽接触来允许附加的铜金属层的沉积,从而至少部分填充所述至少一个凹陷特征,其中,所述第二镀铜槽具有不同于所述第一镀铜槽的化学组成,由此,比起所述连续铜金属层,所述附加的铜金属层以更快的速度沉积,且,其中,所述附加的铜金属层进一步填充所述至少一个凹陷特征至第二宽度、深度、体积,所述第二宽度、深度、体积大于第一宽度、深度、体积,并小于或等于100%的所述至少一个凹陷特征的宽度、深度、体积;
从所述第二镀铜槽中去除基板;以及
在非氧化性的条件下退火处理所述附加的铜金属层,由此,大的铜金属颗粒从所述退火的连续铜金属层和附加的铜金属层被形成在所述连续钌金属膜上。
11.如权利要求10所述的方法,其中,所述附加的铜金属层进一步填充所述至少一个凹陷特征至第二宽度、深度、体积,所述第二宽度、深度、体积小于100%的所述至少一个凹陷特征的宽度、深度、体积,且,
其中,所述方法进一步包括重复以下步骤:
接触所述退火的连续铜金属层;
从所述第二镀铜槽中去除基板;以及
按所需次数退火处理所述附加的铜金属层,来进一步填充所述至少一个凹陷特征至第三宽度、深度、体积,所述第三宽度、深度、体积为90%至100%的所述至少一个凹陷特征的宽度、深度、体积。
12.如权利要求10所述的方法,其中,所述第二宽度、深度、体积小于50%的所述至少一个凹陷特征的宽度、深度、体积。
13.如权利要求10所述的方法,其中,所述第二宽度、深度、体积大于50%的所述至少一个凹陷特征的宽度、深度、体积。
14.如权利要求10所述的方法,其中,所述第二镀铜槽包含比所述第一镀铜槽浓度更高的铜离子。
15.如权利要求10所述的方法,其中,所述基板表面包括外露的扩散阻隔层。
16.如权利要求15所述的方法,其中,所述扩散阻隔层包括钽、氮化钽、碳化钽、钽碳氮化物、钛、氮化钛、碳化钛、碳氮化钛、钨、钨氮化、碳化钨、或碳氮化钨、或其中的组合。
17.如权利要求10所述的方法,其中,所述连续钌金属膜,其厚度范围为1nm至20nm。
18.如权利要求10所述的方法,其中,退火处理所述连续铜金属层和退火处理所述附加的铜金属层的步骤包括:
在范围为100℃至500℃的基板温度下,将所述连续铜金属层露于惰性气体或氢气、或其中的组合中。
19.如权利要求10所述的方法,进一步包括以下步骤:
在范围为100℃至500℃的基板温度下,将所述连续钌金属膜在非氧化性气体中退火处理,所述非氧化性气体包含惰性气体、或氢气、或其中的组合。
20.如权利要求10所述的方法,其中,所述至少一个凹陷特征包括部分制备的集成电路上的通道、沟槽、或是通道和沟槽全部。
21.如权利要求10所述的方法,其中,所述接触步骤包括电化学的镀铜工程或无电镀铜工程。
22.如权利要求10的方法,其中,所述第一和第二镀铜槽具有不同的化学组成。
23.如权利要求10的方法,其中,所述接触步骤进一步允许所述至少一个凹陷特征上的沉积,从而填满所述至少一个凹陷特征。
24.一种在部分制备的集成电路中填充大马士革特征的方法,所述方法包括以下步骤:
通过热化学气相沉积将实质性的氧-和不含碳的连续钌膜沉积在部分制备的集成电路的至少一个凹陷特征中的扩散阻隔上,所述热化学气相沉积使用包含Ru3(CO)12前体和一氧化碳载气的工程气体,其中,所述连续钌金属膜,其厚度范围为1nm至20nm;
在范围为100℃至500℃的基板温度下,将所述连续钌金属膜在非氧化性气体中退火处理,所述非氧化性气体包括惰性气体或氢气、或其中的组合。
将所述部分制备的集成电路或其中的至少一部分浸入第一镀铜槽中,来允许所述连续钌金属膜上的连续铜金属层的沉积,其中,所述连续钌金属膜和所述连续铜金属层一起填充所述至少一个凹陷特征至第一宽度、深度、体积,所述第一宽度、深度、体积小于100%的所述至少一个凹陷特征的宽度、深度、体积;
从所述第一镀铜槽中去除所述部分制备的集成电路;
在范围为100℃至500℃的基板温度下,将所述连续铜金属层在非氧化性气体中退火处理,所述非氧化性气体包括惰性气体或氢气、或其中的组合。
将所述部分制备的集成电路或其中的至少一部分重新浸入第二镀铜槽中,来允许附加的铜金属的沉积,从而至少部分填充所述至少一个凹陷特征至第二宽度、深度、体积,所述第二宽度、深度、体积大于所述第一宽度、深度、体积,并小于或等于100%的所述至少一个凹陷特征的宽度、深度、体积;
从所述第二镀铜槽中去除所述部分制备的集成电路;以及
在范围为100℃至500℃的基板温度下,将所述附加的铜金属在非氧化性气体中退火处理,所述非氧化性气体包括惰性气体或氢气、或其中的组合,由此,大的铜金属颗粒从所述退火的连续铜金属层和所述退火的附加的铜金属被形成在所述连续钌金属膜上。
25.如权利要求24所述的方法,其中,所述重新浸入只是部分填充所述至少一个凹陷特征至第二宽度、深度、体积,所述第二宽度、深度、体积小于100%的所述至少一个凹陷特征的宽度、深度、体积,且,
其中,所述方法进一步包括重复以下步骤:
重新浸入于所述第二镀铜槽中;
从所述第二镀铜槽中去除;以及
按所需次数重复退火处理所述附加的铜金属,来进一步填充所述至少一个凹陷特征至第三宽度、深度、体积,所述第三宽度、深度、体积为90%至100%的所述至少一个凹陷特征的宽度、深度、体积。
26.如权利要求24所述的方法,其中,所述第二镀铜槽中的所述重新浸入步骤,其使用所述附加的铜金属来填充小于50%的所述至少一个凹陷特征的宽度、深度、体积。
27.如权利要求24所述的方法,其中,所述第二镀铜槽中的所述重新浸入步骤,其使用附加的铜金属来填充大于50%的所述至少一个凹陷特征的宽度、深度、体积。
28.如权利要求24的方法,其中,所述第一和第二镀铜槽具有不同的化学组成,由此,比起所述连续铜金属层,所述附加的铜金属以更快的速度沉积。
29.如权利要求24所述的方法,其中,所述扩散阻隔包括钽、氮化钽、碳化钽、钽碳氮化物、钛、氮化钛、碳化钛、碳氮化钛、钨、钨氮化、碳化钨、或碳氮化钨、或其中的组合。
30.如权利要求24所述的方法,其中,所述重新浸入步骤,进一步允许所述至少一个凹陷特征上的沉积,从而填满所述至少一个凹陷特征。
CN2010800536818A 2009-09-30 2010-09-30 用于在凹陷特征中的连续钌膜上多步骤镀铜的方法 Pending CN102859035A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/571,162 2009-09-30
US12/571,162 US8076241B2 (en) 2009-09-30 2009-09-30 Methods for multi-step copper plating on a continuous ruthenium film in recessed features
PCT/US2010/050878 WO2011041522A2 (en) 2009-09-30 2010-09-30 Methods for multi-step copper plating on a continuous ruthenium film in recessed features

Publications (1)

Publication Number Publication Date
CN102859035A true CN102859035A (zh) 2013-01-02

Family

ID=43743696

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800536818A Pending CN102859035A (zh) 2009-09-30 2010-09-30 用于在凹陷特征中的连续钌膜上多步骤镀铜的方法

Country Status (6)

Country Link
US (1) US8076241B2 (zh)
JP (1) JP2013507008A (zh)
KR (1) KR20120082901A (zh)
CN (1) CN102859035A (zh)
TW (1) TW201113934A (zh)
WO (1) WO2011041522A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103003939A (zh) * 2010-07-19 2013-03-27 国际商业机器公司 改善窄铜填充过孔的导电性的方法及结构
CN107731703A (zh) * 2017-08-31 2018-02-23 长江存储科技有限责任公司 一种互连结构及其制作方法和半导体器件的制作方法
CN109075059A (zh) * 2016-06-15 2018-12-21 应用材料公司 用于高功率等离子体蚀刻处理的气体分配板组件

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5409652B2 (ja) * 2008-12-09 2014-02-05 株式会社アルバック 窒化タンタル膜の形成方法
US20110204518A1 (en) * 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
KR101780050B1 (ko) * 2011-02-28 2017-09-20 삼성전자주식회사 반도체 기억 소자 및 반도체 기억 소자의 형성 방법
JP5862353B2 (ja) * 2011-08-05 2016-02-16 東京エレクトロン株式会社 半導体装置の製造方法
US8518818B2 (en) 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9214383B2 (en) * 2013-01-18 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9536830B2 (en) 2013-05-09 2017-01-03 Globalfoundries Inc. High performance refractory metal / copper interconnects to eliminate electromigration
US9171801B2 (en) * 2013-05-09 2015-10-27 Globalfoundries U.S. 2 Llc E-fuse with hybrid metallization
JP6478982B2 (ja) * 2013-09-26 2019-03-06 アトテツク・ドイチユラント・ゲゼルシヤフト・ミツト・ベシユレンクテル・ハフツングAtotech Deutschland GmbH 基材表面を金属化するための新規の密着性促進方法
JP2015160963A (ja) * 2014-02-26 2015-09-07 東京エレクトロン株式会社 ルテニウム膜の成膜方法および成膜装置、ならびに半導体装置の製造方法
FR3017993B1 (fr) * 2014-02-27 2017-08-11 Commissariat Energie Atomique Procede de realisation d'une structure par assemblage d'au moins deux elements par collage direct
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
CN111133579B (zh) * 2017-09-05 2023-09-01 应用材料公司 3d存储器结构中由下而上方式的高深宽比孔洞形成
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11284510B2 (en) * 2018-04-17 2022-03-22 Board Of Trustees Of Michigan State University Controlled wetting and spreading of metals on substrates using porous interlayers and related articles
US11631680B2 (en) * 2018-10-18 2023-04-18 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
JP7206355B2 (ja) * 2020-11-12 2023-01-17 アプライド マテリアルズ インコーポレイテッド ダイナミックランダムアクセスメモリビット線金属を滑らかにするための方法及び装置
US20220415651A1 (en) * 2021-06-29 2022-12-29 Applied Materials, Inc. Methods Of Forming Memory Device With Reduced Resistivity

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6506668B1 (en) * 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
CN1965110A (zh) * 2004-06-10 2007-05-16 应用材料公司 能够在阻挡金属上直接镀铜的阻挡层表面处理的方法
CN101124352A (zh) * 2004-11-23 2008-02-13 东京毅力科创株式会社 用于增大由羰基金属前驱体沉积金属层的速率的方法
CN101246875A (zh) * 2007-02-15 2008-08-20 富士通株式会社 半导体器件及其制造方法
US7442267B1 (en) * 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0524931A (ja) 1991-07-16 1993-02-02 Hitachi Metals Ltd 窒化アルミニウム焼結体
US5888870A (en) * 1997-10-22 1999-03-30 Advanced Micro Devices, Inc. Memory cell fabrication employing an interpoly gate dielectric arranged upon a polished floating gate
JPH11168096A (ja) 1997-12-04 1999-06-22 Sony Corp 高誘電酸化膜の形成方法
US6200898B1 (en) * 1999-10-25 2001-03-13 Vanguard International Semiconductor Corporation Global planarization process for high step DRAM devices via use of HF vapor etching
US8877000B2 (en) * 2001-03-02 2014-11-04 Tokyo Electron Limited Shower head gas injection apparatus with secondary high pressure pulsed gas injection
JP4895430B2 (ja) * 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
EP1294021A1 (de) * 2001-08-31 2003-03-19 Infineon Technologies AG Kondensatoreinrichtung für eine Halbleiterschaltungsanordnung und Verfahren zu deren Herstellung
US6797599B2 (en) * 2001-08-31 2004-09-28 Texas Instruments Incorporated Gate structure and method
JP3611545B2 (ja) * 2001-12-20 2005-01-19 株式会社荏原製作所 めっき装置
JP3756456B2 (ja) * 2002-03-07 2006-03-15 富士通株式会社 半導体装置の製造方法
JP3588607B2 (ja) * 2002-03-29 2004-11-17 株式会社東芝 電界効果トランジスタ
US6680130B2 (en) * 2002-05-28 2004-01-20 Agere Systems, Inc. High K dielectric material and method of making a high K dielectric material
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US20040051126A1 (en) * 2002-09-16 2004-03-18 Structured Materials Inc. Compositionally engineered CexMnyO3 and semiconductor devices based thereon
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
AU2003289764A1 (en) 2002-12-09 2004-06-30 Asm America Inc. Method for forming a dielectric stack
US6828200B2 (en) * 2003-01-03 2004-12-07 Texas Instruments Incorporated Multistage deposition that incorporates nitrogen via an intermediate step
US7071519B2 (en) * 2003-01-08 2006-07-04 Texas Instruments Incorporated Control of high-k gate dielectric film composition profile for property optimization
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
JP3920235B2 (ja) * 2003-03-24 2007-05-30 株式会社ルネサステクノロジ 半導体装置の製造方法
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20050274621A1 (en) * 2004-06-10 2005-12-15 Zhi-Wen Sun Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7135361B2 (en) * 2003-12-11 2006-11-14 Texas Instruments Incorporated Method for fabricating transistor gate structures and gate dielectrics thereof
US6979623B2 (en) * 2003-12-17 2005-12-27 Texas Instruments Incorporated Method for fabricating split gate transistor device having high-k dielectrics
JP2005191482A (ja) 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
WO2005065402A2 (en) 2003-12-29 2005-07-21 Translucent Photonics, Inc. Rare earth-oxides, rare earth-nitrides, rare earth-phosphides and ternary alloys with silicon
JP2005340721A (ja) * 2004-05-31 2005-12-08 Anelva Corp 高誘電率誘電体膜を堆積する方法
KR100589040B1 (ko) * 2004-08-05 2006-06-14 삼성전자주식회사 막 형성방법 및 이를 이용한 반도체 장치의 커패시터제조방법
US7138680B2 (en) * 2004-09-14 2006-11-21 Infineon Technologies Ag Memory device with floating gate stack
US7279421B2 (en) * 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7064043B1 (en) * 2004-12-09 2006-06-20 Texas Instruments Incorporated Wafer bonded MOS decoupling capacitor
US7312139B2 (en) * 2005-01-03 2007-12-25 United Microelectronics Corp. Method of fabricating nitrogen-containing gate dielectric layer and semiconductor device
US7316962B2 (en) * 2005-01-07 2008-01-08 Infineon Technologies Ag High dielectric constant materials
JP5043684B2 (ja) * 2005-01-27 2012-10-10 アプライド マテリアルズ インコーポレイテッド ルテニウム層堆積装置及び方法
JP2006245558A (ja) * 2005-02-04 2006-09-14 Advanced Lcd Technologies Development Center Co Ltd 銅配線層、銅配線層の形成方法、半導体装置、及び半導体装置の製造方法
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US7456102B1 (en) * 2005-10-11 2008-11-25 Novellus Systems, Inc. Electroless copper fill process
JP2010507263A (ja) * 2006-10-17 2010-03-04 エントン インコーポレイテッド 超小型電子デバイスの製造におけるフィチャーを埋め込むための銅堆積
US20080296768A1 (en) * 2006-12-14 2008-12-04 Chebiam Ramanan V Copper nucleation in interconnects having ruthenium layers
US7470617B2 (en) * 2007-03-01 2008-12-30 Intel Corporation Treating a liner layer to reduce surface oxides
US7799684B1 (en) * 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US20090020434A1 (en) * 2007-07-02 2009-01-22 Akira Susaki Substrate processing method and substrate processing apparatus
JP2009099585A (ja) * 2007-10-12 2009-05-07 Panasonic Corp 埋め込み配線の形成方法
US7964506B1 (en) * 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6506668B1 (en) * 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
CN1965110A (zh) * 2004-06-10 2007-05-16 应用材料公司 能够在阻挡金属上直接镀铜的阻挡层表面处理的方法
CN101124352A (zh) * 2004-11-23 2008-02-13 东京毅力科创株式会社 用于增大由羰基金属前驱体沉积金属层的速率的方法
US7442267B1 (en) * 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
CN101246875A (zh) * 2007-02-15 2008-08-20 富士通株式会社 半导体器件及其制造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103003939A (zh) * 2010-07-19 2013-03-27 国际商业机器公司 改善窄铜填充过孔的导电性的方法及结构
CN109075059A (zh) * 2016-06-15 2018-12-21 应用材料公司 用于高功率等离子体蚀刻处理的气体分配板组件
CN109075059B (zh) * 2016-06-15 2023-12-01 应用材料公司 用于高功率等离子体蚀刻处理的气体分配板组件
CN107731703A (zh) * 2017-08-31 2018-02-23 长江存储科技有限责任公司 一种互连结构及其制作方法和半导体器件的制作方法

Also Published As

Publication number Publication date
US8076241B2 (en) 2011-12-13
TW201113934A (en) 2011-04-16
KR20120082901A (ko) 2012-07-24
WO2011041522A3 (en) 2012-01-05
WO2011041522A2 (en) 2011-04-07
US20110076390A1 (en) 2011-03-31
JP2013507008A (ja) 2013-02-28

Similar Documents

Publication Publication Date Title
CN102859035A (zh) 用于在凹陷特征中的连续钌膜上多步骤镀铜的方法
US7776740B2 (en) Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
CN102165573B (zh) 用于形成钌金属覆盖层的方法
US8247030B2 (en) Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7473634B2 (en) Method for integrated substrate processing in copper metallization
TWI645511B (zh) 用於銅阻障層應用之摻雜的氮化鉭
JP2013507008A5 (zh)
US7432195B2 (en) Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US20120252210A1 (en) Method for modifying metal cap layers in semiconductor devices
US7704879B2 (en) Method of forming low-resistivity recessed features in copper metallization
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
US20090209101A1 (en) Ruthenium alloy film for copper interconnects
US20080078325A1 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
WO2007040704A1 (en) Method for integrating a ruthenium layer with bulk copper in copper metallization
US20200157680A1 (en) Peald processes using ruthenium precursor
US11987878B2 (en) Chemical vapor deposition processes using ruthenium precursor and reducing gas
KR20060079359A (ko) TaSIN막을 사용한 확산 방지막 형성 방법 및 이를이용한 금속 배선 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130102