JP2005191482A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP2005191482A
JP2005191482A JP2003434367A JP2003434367A JP2005191482A JP 2005191482 A JP2005191482 A JP 2005191482A JP 2003434367 A JP2003434367 A JP 2003434367A JP 2003434367 A JP2003434367 A JP 2003434367A JP 2005191482 A JP2005191482 A JP 2005191482A
Authority
JP
Japan
Prior art keywords
film
atomic
metal
nitrogen
silicate film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003434367A
Other languages
English (en)
Inventor
Satoshi Kamiyama
聡 神山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Leading Edge Technologies Inc
Original Assignee
Semiconductor Leading Edge Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Leading Edge Technologies Inc filed Critical Semiconductor Leading Edge Technologies Inc
Priority to JP2003434367A priority Critical patent/JP2005191482A/ja
Priority to EP04006409A priority patent/EP1548839A1/en
Priority to US10/811,979 priority patent/US7101753B2/en
Priority to KR1020040021385A priority patent/KR20050066936A/ko
Publication of JP2005191482A publication Critical patent/JP2005191482A/ja
Priority to US11/476,867 priority patent/US20060273408A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Abstract

【課題】 高誘電率膜の最上層に備えた金属シリケート膜中の金属濃度を制御することにより、理想のC−V特性と同等のC−V特性を有する半導体装置を実現する。
【解決手段】 シリコン基板1上にゲート絶縁膜を介してゲート電極7bが形成されてなる半導体装置において、ゲート絶縁膜を、シリコン基板1上に形成されたシリコン酸化膜4aと、シリコン酸化膜4a上に形成されたHfシリケート膜5aと、Hfシリケート膜5a上に形成され、Hfを1atomic%以上30atomic%以下のピーク濃度で含有し、窒素を10atomic%以上30atomic%以下のピーク濃度で含有する窒素含有Hfシリケート膜6aとを積層することにより構成する。
【選択図】 図1

Description

本発明は、金属シリケート膜をゲート絶縁膜として用いた半導体装置及びその製造方法に関する。
半導体装置の微細化に伴い、ゲート絶縁膜の薄膜化が要求されている。従来のゲート絶縁膜であるシリコン酸化膜やシリコン酸窒化膜(以下「シリコン酸化膜等」という。)は、リーク電流が増大するため薄膜化に限界があり、SiO換算膜厚で1.5nm以下にすることは困難である。そこで、シリコン酸化膜等よりも高い比誘電率を有する金属酸化膜、金属シリケート膜及び金属アルミネート膜のような高誘電率膜をゲート絶縁膜として用い、ゲート絶縁膜の物理的膜厚を厚くすることにより、リーク電流を抑制するという提案がなされている。
しかし、上記高誘電率膜をゲート絶縁膜として用い、ポリシリコン電極をゲート電極として用いた場合、ポリシリコン電極にドープされた不純物が不純物活性化時にゲート絶縁膜を通して基板に拡散してしまい、トランジスタ特性が劣化してしまうという問題があった。
この問題を解決するため、高誘電率膜に窒素を導入する技術が提案されている。
具体的には、基板上にジルコニウム又はハフニウムからなる金属層を形成し、該金属層を酸窒化処理することにより、オキシ窒化ジルコニウム層又はオキシ窒化ハフニウム層からなる高誘電率ゲート絶縁膜を形成する技術が提案されている(例えば、特許文献1参照。)。
また、ハフニウム含有シリコン酸窒化膜からなる下部バリア膜と、シリコン含有ハフニウム酸化膜からなる高誘電率膜と、窒素を含むシリコン含有ハフニウム酸化膜からなる上部バリア膜とを積層することによりゲート絶縁膜を構成し、高誘電率膜及び下部バリア膜中の金属(M)、酸素(O)、窒素(N)及びシリコン(Si)の組成を制御することが記載されている(例えば、特許文献2参照。)。
特開2000−58832号公報(第6頁、図6,8) 特開2003−8011号公報(第2頁、図1)
上述したように高誘電率膜に窒素を導入することにより、不純物拡散によるフラットバンド電圧シフト(以下「Vfbシフト」という。)は小さくなる。これは、高誘電率ゲート絶縁膜が窒化処理により緻密化され、不純物拡散が抑制されたためであると推測される。
しかしながら、上記従来の技術では、固定電荷の影響等による初期のVfbシフトが大きく、特にPチャネルMOSトランジスタにおいて、良好なトランジスタ特性を得ることができないという問題があった。
本発明は、上記従来の課題を解決するためになされたもので、初期のVfbシフトを抑制し、良好なトランジスタ特性を得ることを目的とする。
本発明に係る半導体装置は、基板と、
前記基板上に形成されたゲート絶縁膜であって、金属を1atomic%以上30atomic%以下のピーク濃度で含有する窒素含有金属シリケート膜若しくは窒素含有金属アルミネート膜を最上層に有するゲート絶縁膜と、
前記ゲート絶縁膜上に形成されたゲート電極とを備えたことを特徴とするものである。
本発明に係る半導体装置は、基板と、
前記基板上に形成されたゲート絶縁膜であって、
前記基板上に形成された下地界面層と、
該下地界面層上に形成され、金属、酸素及びシリコンを含む金属シリケート膜と、
該金属シリケート膜上に形成され、金属、酸素、シリコン及び窒素を含む窒素含有金属シリケート膜とを有するゲート絶縁膜と、
前記ゲート絶縁膜上に形成されたゲート電極とを備え、
前記窒素含有金属シリケート膜は、前記金属を1atomic%以上30atomic%以下のピーク濃度で含有することを特徴とするものである。
本発明に係る半導体装置において、前記金属シリケート膜は、金属を5atomic%以上40atomic%以下のピーク濃度で含有することが好適である。
本発明に係る半導体装置において、前記窒素含有金属シリケート膜は、前記窒素を10atomic%以上30atomic%以下のピーク濃度で含有することが好適である。
本発明に係る半導体装置の製造方法は、基板上に下地界面層を形成する工程と、
前記下地界面層上に、金属を1atomic%以上30atomic%以下のピーク濃度で含有する金属シリケート膜を形成する工程と、
前記金属シリケート膜の上層に、窒素を10atomic%以上30atomic%以下のピーク濃度で含有する窒素含有金属シリケート膜を形成する工程と、
前記窒素含有金属シリケート膜上にゲート電極を形成する工程と、
を含むことを特徴とするものである。
本発明に係る半導体装置の製造方法において、前記金属シリケート膜を形成する工程は、金属を含む原料を前記基板上に供給した後、酸化性ガスを供給して金属酸化膜を形成する第1ステップと、シリコンを含む原料を前記基板上に供給した後、酸化性ガスを供給してシリコン酸化膜を形成する第2ステップとを組み合わせて行い、かつ、該第1及び第2ステップの回数を制御して行う工程であることが好適である。
本発明に係る半導体装置の製造方法は、基板上に下地界面層を形成する工程と、
前記下地界面層上に、金属を5atomic%以上40atomic%以下のピーク濃度で含有する金属シリケート膜を形成する工程と、
前記金属シリケート膜上に、金属を1atomic%以上30atomic%以下のピーク濃度で含有し、窒素を10atomic%以上30atomic%以下のピーク濃度で含有する窒素含有金属シリケート膜を形成する工程と、
前記窒素含有金属シリケート膜上にゲート電極を形成する工程と、
を含むことを特徴とするものである。
本発明に係る半導体装置の製造方法において、前記窒素含有金属シリケート膜を形成する工程は、
金属を含む原料を前記基板上に供給した後、酸化性ガスを供給して金属酸化膜を形成するステップと、シリコンを含む原料を前記基板上に供給した後、酸化性ガスを供給してシリコン酸化膜を形成するステップとを組み合わせて行い、かつ、該ステップの回数をそれぞれ制御することにより、金属を1atomic%以上30atomic%以下のピーク濃度で含有する金属シリケート膜を形成する工程と、
該金属シリケート膜を窒化することにより、該金属シリケート膜に窒素を10atomic%以上30atomic%以下のピーク濃度で導入する工程と、
を含むことが好適である。
本発明は以上説明したように、高誘電率膜の最上層に備えた金属シリケート膜中の金属濃度を制御することにより、理想のC−V特性と同等のC−V特性を有する半導体装置を実現することができる。
以下、図面を参照して、本発明の実施の形態について説明する。
図1は、本発明の実施の形態による半導体装置を説明するための断面図である。詳細には、P型チャネルMOSトランジスタ(以下「PMOSトランジスタ」という。)を説明するための断面図である。
図1に示すように、基板1としてのp型シリコン基板内に、n型不純物が導入されてなるn型ウェル2が形成されている。該基板1の素子分離領域に素子分離構造3が形成されている。この素子分離構造3により、活性領域であるPMOSトランジスタ形成領域が区画形成される。素子分離構造3は、基板1の表面側から形成された浅溝内にシリコン酸化膜が充填されてなるSTI(Shallow Trench Isolation)である。MOSトランジスタ形成領域の基板1上には、積層されたゲート絶縁膜4a,5a,6aを介してゲート電極7bが形成されている。
ゲート絶縁膜は、基板1上に形成された下地界面層4aと、該下地界面層4a上に形成された高誘電率膜5aと、該高誘電率膜5a上に形成された上層絶縁膜6aとを有する。
下地界面層4aは、基板1と高誘電率膜5aとの界面反応を抑制するシリコン酸化膜である。下地界面層4aの膜厚は、1nm以下が好適であり、例えば、0.5nm程度である。
高誘電率膜5aは、金属、酸素及びシリコンを含有する金属シリケート膜であり、例えば、Hf(ハフニウム)シリケート膜又はZr(ジルコニウム)シリケート膜を用いることができる。高誘電率膜5aの膜厚は、例えば、3nm程度である。
上層絶縁膜6aは、金属、酸素、シリコン及び窒素を含有する窒素含有金属シリケート膜であり、例えば、窒素含有Hfシリケート膜又は窒素含有Zrシリケート膜を用いることができる。
上層絶縁膜6aは、HfやZrのような金属を1atomic%以上30atomic%以下のピーク濃度で含有する膜である。すなわち、窒素含有金属シリケート膜6aは、シリコンリッチな膜とする。金属ピーク濃度が30atomic%を超える場合、後述するように、良好な電気特性が得られないためである。
また、上層絶縁膜6aは、窒素を10atomic%以上30atomic%以下のピーク濃度で含有する。窒素ピーク濃度が10atomic%未満の場合には、上層絶縁膜6aの緻密化が不十分となり、活性化熱処理においてゲート電極であるポリシリコンへ導入したリンあるいはボロンなどの不純物の拡散抑制が困難となるためである。また、窒素ピーク濃度が30atomic%を超えるようにするのは実際困難であり、仮に可能であったとしても優れた電気特性が得られない。
上層絶縁膜6aの膜厚は、高誘電率膜5aの膜厚の1/20〜2/3程度が好適である。
ゲート電極7bは、ポリシリコン膜に不純物が導入されたドープトシリコン膜からなるポリシリコン電極である。また、ゲート電極7bとして、ポリシリコン電極に代えて、シリコンゲルマニウム(SiGe)電極を用いることができる。
ゲート電極7b及びゲート絶縁膜4a,5a,6aの側面には、LDD形成用スペーサとしてのサイドウォール11が形成されている。該サイドウォール11は、シリコン酸化膜やシリコン窒化膜等からなる。
ゲート電極7b下方の基板1表面のチャネル領域を挟んで、低濃度のp型不純物が導入されてなるエクステンション領域14が形成されている。高濃度のp型不純物が導入されてなるソース/ドレイン領域15が、エクステンション領域14と接続するようにn型ウェル2に形成されている。
ゲート電極7bを覆うように、BPSG、BSG、PSGのような層間絶縁膜16が形成されている。該層間絶縁膜16内に、ソース/ドレイン領域15に接続されたコンタクトホールが形成され、該コンタクトホール内にバリアメタル膜とタングステン膜との積層膜のような導電膜が埋め込まれたコンタクト17が形成されている。コンタクト17上には、金属配線18が形成されている。
なお、上述したPMOSトランジスタに限らず、同様の断面構造を有するN型チャネルMOSトランジスタ(以下「NMOSトランジスタ」という。)に対しても本発明を適用することができる。
NMOSトランジスタの場合には、p型シリコン基板1内にp型ウェルが形成され、素子分離構造3によりNMOSトランジスタ形成領域が区画形成されている。さらに、p型ウェルには、低濃度のn型不純物が導入されてなるエクステンション領域と、該エクステンションに接続され、高濃度のn型不純物が導入されてなるソース/ドレイン領域とが形成されている。
次に、上記高誘電率膜5としての金属シリケート膜の形成方法について説明する。具体的には、Hfシリケート膜の形成方法について説明する。
Hfシリケート膜は、ハフニウム酸化膜(HfO膜)をALD(Atomic Layer Deposition)法により形成する工程と、シリコン酸化膜(SiO膜)をALD法により形成する工程とを組み合わせて行い、それぞれの工程の回数を制御することにより形成される。各工程の詳細について、以下に説明する。
先ず、ハフニウム酸化膜の形成工程について説明する。
ハフニウム酸化膜は、Hf原料としてのテトラメチルエチルアミドハフニウム[Hf(N(CH3)(C2H5))4]を液体マスフローコントローラを用いて流量制御し、該流量制御されたHf原料を気化させて、成膜チャンバ内に保持されたシリコン基板表面に吸着させた後、該チャンバ内にオゾンガスのような酸化性ガスを導入することにより形成される。かかるハフニウム酸化膜の形成工程を1サイクルとする。
図2は、テトラメチルエチルアミドハフニウム[Hf(N(CH3)(C2H5))4]をHf原料として用いてハフニウム酸化膜を形成する場合において、サイクル数とハフニウム酸化膜の膜厚との関係を示す図である。図2には、基板温度が200℃、250℃、275℃、300℃、325℃である場合の膜厚の変化を示している。図2に示すように、各シリコンウエハ温度において、サイクル数の増加に伴い、ハフニウム酸化膜の膜厚が線形的に増加している。さらに、基板温度が増加するのに伴い、直線の傾きが大きくなり、1サイクル当たりの成膜速度が増加している。これは、基板温度の増加に伴い、基板表面に吸着するテトラメチルエチルアミドハフニウム[Hf(N(CH3)(C2H5))4]の量も増加するためであると考えられる。図2に示すように、各基板温度における1サイクル当たりのHfO成膜速度は、200℃:0.090nm/cycle、250℃:0.093nm/cycle、275℃:0.117nm/cycle、300℃:0.227nm/cycle、325℃:0.458nm/cycleであった。
なお、Hf原料として、テトラジメチルアミドハフニウム[Hf(N(CH3)2)4]又はテトラジエチルアミドハフニウム[Hf(N(C2H5)2)4]を用いることができる。
また、Hfシリケート膜に代えて、Zrシリケート膜を形成することができる。この場合、Zr原料として、テトラメチルエチルアミドジルコニウム[Zr(N(CH3)(C2H5))4]、テトラジメチルアミドジルコニウム[Zr(N(CH3)2)4]又はテトラジエチルアミドジルコニウム[Zr(N(C2H5)2)4]を用いることができる。
同様に、金属として、Hf又はZr以外に、タンタル(Ta)、スカンジウム(Sc)、イットリウム(Y)、ランタン(La)、セリウム(Ce)、プラセオジウム(Pr)、ネオジウム(Nd)、サマリウム(Sm)、ユーロピウム(Eu)、ガドリニウム(Gd)、テルビウム(Tb)、ジスプロシウム(Dy)、ホルミウム(Ho)、エルビウム(Er)、イッテルビウム(Yb)およびルテチウム(Lu)を用いることができる。この場合、金属原料として、上記Hf原料のハフニウム部分を各金属元素に変えた原料を用いることができる。
次に、シリコン酸化膜の形成工程について説明する。
シリコン酸化膜は、Si原料としてのトリスジメチルアミノシラン[SiH(N(CH3)2)3]を液体マスフローコントローラを用いて流量制御し、該流量制御されたSi原料を気化させて、成膜チャンバ内に保持されたシリコン基板表面に吸着させた後、該チャンバ内にオゾンガスのような酸化性ガスを導入することにより形成される。かかるシリコン酸化膜の形成工程を1サイクルとする。
図3は、トリスジメチルアミノシラン[SiH(N(CH3)2)3]をSi原料として用いてシリコン酸化膜を形成する場合において、サイクル数とシリコン酸化膜の膜厚との関係を示す図である。図3(a)は成膜チャンバ内の圧力が0.5Torrの場合、図3(b)は成膜チャンバ内の圧力が5.0Torrの場合の結果を示している。成膜チャンバ内の圧力が0.5Torrの場合、図3(a)に示すように、サイクル数の増加に伴いシリコン酸化膜の膜厚が線形的に増加しているが、成膜速度は小さかった。一方、成膜チャンバ内の圧力を5.0Torrに上げた場合には、図3(b)に示すように、成膜速度が増加した。これは、Si原料であるトリスジメチルアミノシラン[SiH(N(CH3)2)3]は、0.5Torrの低圧では基板表面に吸着しにくく、5.0Torrの圧力にすることにより吸着量が増加するためであると考えられる。図3(b)に示すように、0.5Torrの低圧で成膜した場合、各基板温度における1サイクル当たりのSiO成膜速度は、225℃:0.058nm/cycle、250℃:0.070nm/cycle、275℃:0.080nm/cycleであった。
なお、Si原料として、トリスジエチルアミノシラン[SiH(N(C2H5)2)3]、テトラキスジメチルアミノシラン[Si(N(CH3)2)4]、テトラキスジエチルアミノシラン[Si(N(C2H5)2)4]、ジメチルシラン[SiH2(CH3)2]、ジエチルシラン[SiH2(C2H5)2]又はビスターシャリブチルアミノシラン[SiH2(NH(C4H9)2)]を用いることができる。
図4は、Hf原料としてテトラメチルエチルアミドハフニウム[Hf(N(CH3)(C2H5))4]を用いてハフニウム酸化膜を形成し、Si原料としてトリスジメチルアミノシラン[SiH(N(CH3)2)3]を用いてシリコン酸化膜を形成する場合において、サイクル数とHfシリケート膜の膜厚との関係を示す図である。ハフニウム酸化膜とシリコン酸化膜の形成については上述した通りである。図4において、Hf/Si=1/1は、Hfシリケート膜を形成する工程の1サイクルにおいて、HfO成膜とSiO成膜とを1サイクル毎に行っていることを意味する。また、Hf/Si=1/2は、HfO成膜を1回行った後にSiO成膜を2回行っていることを意味し、Hf/Si=1/4はHfO成膜を1回行った後にSiO成膜を4回行っていることを意味している。何れのサイクル比の場合でも、HfO成膜での成膜チャンバ内の圧力は0.5Torrとし、SiO成膜での成膜チャンバ内の圧力は5.0Torrとした。また、基板温度は、275℃とした。
図4に示すように、Hf/Si=1/1、Hf/Si=1/2及びHf/Si=1/4の何れの場合においても、サイクル数の増加に伴い、Hfシリケート膜厚が線形的に増加している。これは、サイクル数によりHfシリケート膜の膜厚を非常に精度良く制御できていることを意味している。図4に示すように、1サイクル当たりのHfシリケート成膜速度は、Hf/Si=1/1:0.155nm/cycle、Hf/Si=1/2:0.222nm/cycle、Hf/Si=1/4:0.373nm/cycleであった。
なお、Hf原料及びSi原料は、上述した他の材料に適宜変更可能である。
図5は、Hfとしてテトラメチルエチルアミドハフニウム[Hf(N(CH3)(C2H5))4]を用いてハフニウム酸化膜を形成し、Si原料としてトリスジメチルアミノシラン[SiH(N(CH3)2)3]を用いてシリコン酸化膜を形成する場合において、Hf/Si比とHfシリケート膜中のHf濃度[Hf/(Hf+Si)]との関係を示す図である。ここで、HfO成膜とSiO成膜とでは成膜チャンバ内の圧力は異なり、HfO成膜時の圧力を0.5Torrとし、SiO成膜時の圧力を5.0Torrとした。また、基板温度は、275℃とした。
図5に示すように、Hf/Si比、すなわち、Hfシリケート膜成膜1サイクルにおけるHfO成膜工程数とSiO成膜工程数の比、を制御することにより、Hfシリケート膜中のHf濃度を1/30〜約1の広範囲で精度良く制御することができる。従って、以上のような製法を用いることにより、金属シリケート膜中の金属ピーク濃度を精度良く制御することができる。
次に、本実施の形態による半導体装置の製造方法について説明する。
図6〜図8は、本実施の形態による半導体装置の製造方法を説明するための工程断面図である。詳細には、PMOSトランジスタの製造方法を説明するための工程断面図である。NMOSトランジスタとPMOSトランジスタは同様の断面構造を有するため、NMOSトランジスタの製造方法については図示を省略し適宜説明する。
先ず、図6(a)に示すように、PMOSトランジスタ形成領域において、P型シリコン基板1内にn型不純物を導入し熱処理を施すことによりn型ウェル2を形成する。一方、NMOSトランジスタ形成領域において、シリコン基板1内にp型不純物を導入し熱処理を施すことによりp型ウェルを形成する。そして、STI法により素子分離構造3を形成することにより、上記PMOS及びNMOSトランジスタ形成領域に仕切られる。具体的には、シリコン基板1の素子分離領域に浅い溝を形成し、該溝内にシリコン酸化膜を埋め込むことにより素子分離構造3を形成する。溝以外に形成されたシリコン酸化膜は、CMP法又はエッチバック法により除去することができる。
次に、シリコン基板1の表面を希釈フッ酸(DHF)を用いて洗浄する。その後、図6(b)に示すように、シリコン基板1の表面にシリコン酸化膜4を、ハロゲンランプやフラッシュランプを用いた急速加熱処理により、例えば、0.5nm程度の膜厚で形成する。かかる極薄のシリコン酸化膜4を形成した後、上述した方法を用いて、Hfシリケート膜5を、例えば、3nm程度の膜厚で形成する。
Hfシリケート膜5形成後、窒素含有Hfシリケート膜6の形成前に、Hfシリケート膜5を緻密化する処理を行ってもよい。該緻密化は、例えば、酸素ガスが微量添加された窒素ガス雰囲気、又は窒素ガス雰囲気中で、ハロゲンランプを用いた急速加熱処理を、1sec−600sec行うことにより実行することができる。また、該雰囲気中で、フラッシュランプを用いた急速加熱処理を、0.3msec−100msec行うことにより実行することができる。
次に、図6(c)に示すように、Hfシリケート膜5の上層に、Hfを1atomic%以上30atomic%以下のピーク濃度で含有し、窒素を10atomic%以上30atomic%以下のピーク濃度で含有する窒素含有Hfシリケート膜6を形成する。該窒素含有Hfシリケート膜6の形成方法として、窒化性ガスを用いたプラズマ処理を適用することができる。
なお、Hfシリケート膜5を窒化することにより窒素含有Hfシリケート膜6を形成する代わりに、Hfシリケート膜5上に、ハフニウム、酸素、シリコン及び窒素を含む原料を用いて、窒素含有Hfシリケート膜6を形成してもよい。
窒素含有Hfシリケート膜6を形成した後、緻密化処理を行う必要である。緻密化処理は、例えば、酸素ガスが微量添加された窒素ガス雰囲気、又は窒素ガス雰囲気中で、ランプを用いた急速加熱処理を行うことにより実行することができる。
次に、図6(d)に示すように、窒素含有Hfシリケート膜6上に、最終的にゲート電極となるポリシリコン膜7を形成する。
そして、図7(a)に示すように、ポリシリコン膜7にボロンのような不純物8をイオン注入する。これにより、窒素含有Hfシリケート膜6上に、ドープトポリシリコン膜7aが形成される。一方、図示しないが、NMOSトランジスタ形成領域に形成されたポリシリコン膜には、リンのような不純物をイオン注入する。
次に、ドープトポリシリコン膜7a上にレジストパターン(図示せず)を形成し、該レジストパターンをマスクとして用いて、ドープトポリシリコン膜7a、窒素含有Hfシリケート膜6、Hfシリケート膜5及びシリコン酸化膜4を順次エッチングする。これにより、図7(b)に示すように、シリコン基板1のn型ウェル2上に、シリコン酸化膜4a、Hfシリケート膜5a及び窒素含有Hfシリケート膜6aを積層してなるゲート絶縁膜を介して、ポリシリコンゲート電極7bが形成される。そして、このゲート電極7bをマスクとして用いて、低濃度のp型不純物9としての二フッ化ボロン(BF)をn型ウェル2にイオン注入する。これにより、n型ウェル2において、ゲート電極7bの両側のシリコン基板1上層に、最終的にエクステンション領域となるp型低濃度イオン注入層10が形成される。一方、図示しないが、NMOSトランジスタ形成領域では、ヒ素をp型ウェルにイオン注入し、n型低濃度イオン注入層を形成する。
次に、ゲート電極7bを覆うようにシリコン基板1全面にシリコン窒化膜を、例えば、100nm程度の膜厚で形成し、該シリコン窒化膜を異方性エッチングする。これにより、図7(c)に示すように、ゲート電極7b及びゲート絶縁膜6a,5a,4aの側壁を覆い、シリコン窒化膜からなるサイドウォール11が自己整合的に形成される。
次に、図8(a)に示すように、ゲート電極7b及びサイドウォール11をマスクとして用いて、高濃度のp型不純物12としてのボロン12をn型ウェル2にイオン注入する。これにより、n型ウェル2において、最終的にソース/ドレイン領域となるp型高濃度イオン注入層13が形成される。一方、図示しないが、NMOSトランジスタ形成領域では、リンをp型ウェルにイオン注入し、n型高濃度イオン注入層を形成する。
次に、ランプを用いた急速加熱処理を行う。これにより、図8(b)に示すように、n型ウェル2におけるp型低濃度イオン注入層10とp型低濃度イオン注入層13とがそれぞれ活性化され、低濃度で不純物が導入されたp型エクステンション領域14と、高濃度で不純物が導入されたp型ソース/ドレイン領域15とが形成される。一方、図示しないが、NMOSトランジスタ形成領域では、p型ウェルにおけるn型低濃度イオン注入層とn型高濃度イオン注入層とがそれぞれ活性され、低濃度で不純物が導入されたn型エクステンション領域と、高濃度で不純物が導入されたn型ソース/ドレイン領域とが形成される。
ここで、活性化のための熱処理温度は、緻密化のための熱処理温度よりも、少なくとも10℃以上低くすることが好適である。例えば、活性化熱処理温度を980℃で行い、緻密化熱処理温度を1000℃で行うことができる。これにより、ゲート絶縁膜とゲート電極との相互反応が抑制され、熱的に安定で、ゲート電極に導入された不純物拡散を抑制したゲート絶縁膜が得られる。
次に、図8(c)に示すように、基板全面に層間絶縁膜16をCVD法を用いて形成する。そして、層間絶縁膜16上にリソグラフィ技術を用いてレジストパターン(図示せず)を形成し、該レジストパターンをマスクとしたドライエッチングにより層間絶縁膜16内にソース/ドレイン領域15に接続するコンタクトホールを形成した後、該コンタクトホール内にバリアメタル膜及びタングステン膜を埋め込むことによりコンタクト17を形成する。なお、不要なバリアメタル膜及びタングステン膜は、CMP法を用いて除去する。その後、コンタクト17上に金属配線18を形成することにより、図1に示した半導体装置が製造される。
次に、本実施の形態により製造されたMOSトランジスタのゲート容量−ゲート電圧特性(以下「C−V特性」という。)について説明する。
図9は、本実施の形態による半導体装置のC−V特性を示す図である。詳細には、図9(a)は、NMOSトランジスタのC−V特性を示す図であり、図9(b)は、PMOSトランジスタのC−V特性を示す図である
図9(a),(b)に示すように、Hf/Si=1/1(上述)でHfシリケート膜を形成した場合、実際に得られたMOSトランジスタのC−V特性は、理想のC−Vよりずれてしまう。これは、初期のVfbシフトが抑制されていないためである。
一方、Hf/Si=1/2でHfシリケート膜を形成した場合、C−V特性が理想のC−V特性に近づいている。
さらに、Hf/Si=1/4でHfシリケート膜を形成した場合、すなわち金属濃度[Hf/(Hf+Si)]が約30%以下になる場合、ほぼ理想のC−V特性が得られる。よって、初期のVfbが十分に抑制できていることが分かる。
よって、シリコンリッチなHfシリケート膜を形成することにより良好なC−V特性が得られ、金属濃度が約30%以下になった場合、ほぼ理想C−V曲線に近い結果となった。特に、図9(b)に示すように、PMOSトランジスタにおいて顕著なC−V特性の改善が見られた。
また、図9(a),(b)に示すように、反転側で、Hf/Si比によりC/Cmax値が異なり、シリコンリッチになるほどC/Cmax値が大きくなっているのがわかる。これは、シリコンリッチなHfシリケート膜であるほど、上部電極ポリシリコンからの不純物拡散が小さく、空乏化がなくなるためであると考えられる。
なお、上述した他のHf原料及びSi原料を用いてHfシリケートを形成した場合についても同様の結果が得られる。
以上説明したように、本実施の形態では、ゲート絶縁膜の最上層に位置する窒素含有Hfシリケート膜6a中の金属ピーク濃度を1atomic%以上30atomic%以下に制御した。これにより、初期のVfbシフトを十分に抑制することができ、理想のC−V特性と同等のC−V特性を得ることができる。
また、窒素含有Hfシリケート膜6a中の窒素ピーク濃度を10atomic%以上30atomic%以下に制御した。これにより、活性化熱処理時のゲート電極に導入された不純物のゲート絶縁膜への拡散を抑制することができ、不純物拡散によるVfbシフトを抑制することができる。
なお、本実施の形態1では、高誘電率膜5として金属シリケート膜を用い、上層絶縁膜6として窒素含有金属シリケート膜を用いた場合について説明した。本発明は、高誘電率膜として金属アルミネート膜を用い、上層絶縁膜として窒素含有金属アルミネート膜を用いた場合にも適用することができ、この場合にも同様の効果が得られる。
次に、上記実施の形態の変形例について説明する。
上述した実施の形態では、金属シリケート膜5aと窒素含有金属シリケート膜6aにおける金属ピーク濃度が同等であった。これに対して、本変形例では、金属シリケート膜5aの金属ピーク濃度を、窒素含有金属シリケート膜6aの金属ピーク濃度よりも高くすることとした。すなわち、金属シリケート膜5aを金属リッチな膜とし、窒素含有金属シリケート膜6aをシリコンリッチな膜とした。その他の構成については、上記実施の形態と同様であるので、説明を省略する。
本変形例では、高誘電率膜5aとしての金属シリケート膜(例えば、Hfシリケート膜やZrシリケート膜)を、金属を5atomic%以上40atomic%以下のピーク濃度で含有する金属リッチな膜とする。
上記実施の形態による半導体装置の製造方法において、シリコン酸化膜4を形成した後、例えば、Hf/Si=1/2の比率で、ハフニウムリッチなHfシリケート膜を形成する。そして、Hfシリケート膜上に、Hf/Si=1/4の比率で、シリコンリッチなHfシリケート膜を形成する。その後、該シリコンリッチなHfシリケート膜を窒化することにより、上層絶縁膜としてのシリコンリッチな窒素含有Hfシリケート膜が形成される。以後、上記実施の形態と同様に、該窒素含有Hfシリケート膜上に、ポリシリコン膜7が形成される。
本変形例においても、ゲート絶縁膜の最上層の膜を、金属を1atomic%以上30atomic%以下のピーク濃度で含有する窒素含有金属シリケート膜とすることにより、上記実施の形態と同様の効果が得られる。さらに、本変形例は、高誘電率膜5aとして金属リッチな金属シリケート膜を用いることにより、ゲート絶縁膜トータルの実効比誘電率を向上させることができる。
本発明の実施の形態による半導体装置を説明するための断面図である。 サイクル数とハフニウム酸化膜の膜厚との関係を示す図である。 サイクル数とシリコン酸化膜の膜厚との関係を示す図である。 サイクル数とHfシリケート膜の膜厚との関係を示す図である。 Hf/Si比と、Hfシリケート膜中のHf濃度との関係を示す図である。 本発明の実施の形態による半導体装置の製造方法を説明するための工程断面図である(その1)。 本発明の実施の形態による半導体装置の製造方法を説明するための工程断面図である(その2)。 本発明の実施の形態による半導体装置の製造方法を説明するための工程断面図である(その3)。 本発明の実施の形態による半導体装置の容量−電圧特性を示す図である。
符号の説明
1 基板(p型シリコン基板)
2 n型ウェル
3 素子分離構造
4a 下地界面層(シリコン酸化膜)
5a 高誘電率膜(金属シリケート膜)
6a 上層絶縁膜(窒素含有金属シリケート膜)
7 ポリシリコン膜
7a ドープトポリシリコン膜
7b ゲート電極(ポリシリコン電極)
8 不純物
9 不純物
10 低濃度イオン注入層
11 サイドウォール
12 不純物
13 低濃度イオン注入層
14 エクステンション領域
15 ソース/ドレイン領域
16 層間絶縁膜
17 コンタクト
18 金属配線

Claims (8)

  1. 基板と、
    前記基板上に形成されたゲート絶縁膜であって、金属を1atomic%以上30atomic%以下のピーク濃度で含有する窒素含有金属シリケート膜若しくは窒素含有金属アルミネート膜を最上層に有するゲート絶縁膜と、
    前記ゲート絶縁膜上に形成されたゲート電極とを備えた半導体装置。
  2. 基板と、
    前記基板上に形成されたゲート絶縁膜であって、
    前記基板上に形成された下地界面層と、
    該下地界面層上に形成され、金属、酸素及びシリコンを含む金属シリケート膜と、
    該金属シリケート膜上に形成され、金属、酸素、シリコン及び窒素を含む窒素含有金属シリケート膜とを有するゲート絶縁膜と、
    前記ゲート絶縁膜上に形成されたゲート電極とを備え、
    前記窒素含有金属シリケート膜は、前記金属を1atomic%以上30atomic%以下のピーク濃度で含有することを特徴とする半導体装置。
  3. 請求項2に記載の半導体装置において、
    前記金属シリケート膜は、金属を5atomic%以上40atomic%以下のピーク濃度で含有することを特徴とする半導体装置。
  4. 請求項1から3の何れかに記載の半導体装置において、
    前記窒素含有金属シリケート膜は、前記窒素を10atomic%以上30atomic%以下のピーク濃度で含有することを特徴とする半導体装置。
  5. 基板上に下地界面層を形成する工程と、
    前記下地界面層上に、金属を1atomic%以上30atomic%以下のピーク濃度で含有する金属シリケート膜を形成する工程と、
    前記金属シリケート膜の上層に、窒素を10atomic%以上30atomic%以下のピーク濃度で含有する窒素含有金属シリケート膜を形成する工程と、
    前記窒素含有金属シリケート膜上にゲート電極を形成する工程と、
    を含むことを特徴とする半導体装置の製造方法。
  6. 請求項5に記載の半導体装置の製造方法において、
    前記金属シリケート膜を形成する工程は、金属を含む原料を前記基板上に供給した後、酸化性ガスを供給して金属酸化膜を形成する第1ステップと、シリコンを含む原料を前記基板上に供給した後、酸化性ガスを供給してシリコン酸化膜を形成する第2ステップとを組み合わせて行い、かつ、該第1及び第2ステップの回数を制御して行う工程であることを特徴とする半導体装置の製造方法。
  7. 基板上に下地界面層を形成する工程と、
    前記下地界面層上に、金属を5atomic%以上40atomic%以下のピーク濃度で含有する金属シリケート膜を形成する工程と、
    前記金属シリケート膜上に、金属を1atomic%以上30atomic%以下のピーク濃度で含有し、窒素を10atomic%以上30atomic%以下のピーク濃度で含有する窒素含有金属シリケート膜を形成する工程と、
    前記窒素含有金属シリケート膜上にゲート電極を形成する工程と、
    を含むことを特徴とする半導体装置の製造方法。
  8. 請求項7に記載の半導体装置の製造方法において、
    前記窒素含有金属シリケート膜を形成する工程は、
    金属を含む原料を前記基板上に供給した後、酸化性ガスを供給して金属酸化膜を形成するステップと、シリコンを含む原料を前記基板上に供給した後、酸化性ガスを供給してシリコン酸化膜を形成するステップとを組み合わせて行い、かつ、該ステップの回数をそれぞれ制御することにより、金属を1atomic%以上30atomic%以下のピーク濃度で含有する金属シリケート膜を形成する工程と、
    該金属シリケート膜を窒化することにより、該金属シリケート膜に窒素を10atomic%以上30atomic%以下のピーク濃度で導入する工程と、
    を含むことを特徴とする半導体装置の製造方法。
JP2003434367A 2003-12-26 2003-12-26 半導体装置及びその製造方法 Pending JP2005191482A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2003434367A JP2005191482A (ja) 2003-12-26 2003-12-26 半導体装置及びその製造方法
EP04006409A EP1548839A1 (en) 2003-12-26 2004-03-17 Semiconductor device, method for manufacturing the same, apparatus and method for forming high-dielectric-constant film
US10/811,979 US7101753B2 (en) 2003-12-26 2004-03-30 Method for manufacturing a semiconductor device and method for forming high-dielectric-constant film
KR1020040021385A KR20050066936A (ko) 2003-12-26 2004-03-30 반도체 장치, 그 제조 방법, 박막 형성 장치 및고유전율막 형성 방법
US11/476,867 US20060273408A1 (en) 2003-12-26 2006-06-29 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003434367A JP2005191482A (ja) 2003-12-26 2003-12-26 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
JP2005191482A true JP2005191482A (ja) 2005-07-14

Family

ID=34545101

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003434367A Pending JP2005191482A (ja) 2003-12-26 2003-12-26 半導体装置及びその製造方法

Country Status (4)

Country Link
US (2) US7101753B2 (ja)
EP (1) EP1548839A1 (ja)
JP (1) JP2005191482A (ja)
KR (1) KR20050066936A (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007005365A (ja) * 2005-06-21 2007-01-11 Nec Electronics Corp 高誘電率膜の成膜方法および半導体装置の製造方法
KR100744423B1 (ko) 2006-08-28 2007-07-30 동부일렉트로닉스 주식회사 하프늄 실리케이트 산화막 형성 방법 및 이를 이용한반도체 소자의 제조 방법
JP2007324595A (ja) * 2006-05-30 2007-12-13 Air Products & Chemicals Inc 金属ケイ酸塩膜堆積用のケイ素源としてのジエチルシラン
JP2008135726A (ja) * 2006-10-23 2008-06-12 Interuniv Micro Electronica Centrum Vzw 主電極を含むドープされた金属を含む半導体装置
JP2009514218A (ja) * 2005-10-26 2009-04-02 インターナショナル・ビジネス・マシーンズ・コーポレーション 二重の閾値電圧制御手段を有する低閾値電圧の半導体デバイス
JP2010067958A (ja) * 2008-08-11 2010-03-25 Tokyo Electron Ltd 成膜方法および成膜装置
US8227032B2 (en) 2005-03-17 2012-07-24 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
JP2015517200A (ja) * 2012-03-09 2015-06-18 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 薄膜トランジスター機器上にケイ素含有膜を製造する方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100876927B1 (ko) * 2001-06-01 2009-01-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 열처리장치 및 열처리방법
KR100689824B1 (ko) * 2004-05-14 2007-03-08 삼성전자주식회사 원자층 증착 기술을 이용한 금속 실리케이트막 형성 방법
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7564108B2 (en) * 2004-12-20 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen treatment to improve high-k gate dielectrics
KR100688521B1 (ko) * 2005-01-18 2007-03-02 삼성전자주식회사 고유전율 절연막을 포함하는 반도체 소자 및 그 제조 방법
JP4671729B2 (ja) * 2005-03-28 2011-04-20 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP2007235093A (ja) * 2006-01-31 2007-09-13 Toshiba Corp 半導体装置の製造方法
US8012442B2 (en) 2006-03-31 2011-09-06 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7759746B2 (en) 2006-03-31 2010-07-20 Tokyo Electron Limited Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US8097300B2 (en) 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US7816737B2 (en) 2006-03-31 2010-10-19 Tokyo Electron Limited Semiconductor device with gate dielectric containing mixed rare earth elements
US20080001237A1 (en) * 2006-06-29 2008-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nitrided high-k gate dielectric and metal gate electrode and methods of forming same
WO2008042695A2 (en) * 2006-09-29 2008-04-10 Tokyo Electron Limited Semiconductor devices containing nitrided high dielectric constant films and method of forming
US7767262B2 (en) 2006-09-29 2010-08-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
KR100864871B1 (ko) * 2007-05-29 2008-10-22 한국전자통신연구원 반도체 소자 제조방법
US8159035B2 (en) * 2007-07-09 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates of PMOS devices having high work functions
US7998820B2 (en) * 2007-08-07 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. High-k gate dielectric and method of manufacture
US7858535B2 (en) * 2008-05-02 2010-12-28 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ALD) processes and methods of fabricating semiconductor structures
US8129284B2 (en) * 2009-04-28 2012-03-06 Dainippon Screen Mfg. Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by light irradiation
JP5247619B2 (ja) * 2009-07-28 2013-07-24 キヤノンアネルバ株式会社 誘電体膜、誘電体膜を用いた半導体装置の製造方法及び半導体製造装置
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
JP5955658B2 (ja) * 2012-06-15 2016-07-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
US10769826B2 (en) 2014-12-31 2020-09-08 Servicenow, Inc. Visual task board visualization

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002299607A (ja) * 2001-03-28 2002-10-11 Toshiba Corp Mis型電界効果トランジスタ及びこれの製造方法
JP2003008005A (ja) * 2001-06-21 2003-01-10 Matsushita Electric Ind Co Ltd 高誘電率絶縁膜を有する半導体装置
WO2003019643A1 (fr) * 2001-08-23 2003-03-06 Nec Corporation Dispositif semi-conducteur comportant un film isolant presentant une permittivite elevee et son procede de production
JP2003204061A (ja) * 2002-01-08 2003-07-18 Toshiba Corp 半導体装置及び半導体装置の製造方法
JP2003297826A (ja) * 2002-03-29 2003-10-17 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP2003347297A (ja) * 2002-05-27 2003-12-05 Kojundo Chem Lab Co Ltd 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
JP2005116727A (ja) * 2003-10-07 2005-04-28 Sony Corp 絶縁体薄膜の製造方法と絶縁体薄膜および半導体装置の製造方法と半導体装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5705224A (en) * 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
CN100465742C (zh) * 1992-08-27 2009-03-04 株式会社半导体能源研究所 有源矩阵显示器
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6544906B2 (en) * 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
EP1363333B1 (en) * 2001-06-21 2012-10-10 Panasonic Corporation Semiconductor device
JP2003249649A (ja) * 2002-02-26 2003-09-05 Toshiba Corp 半導体装置及びその製造方法
JP2004186567A (ja) * 2002-12-05 2004-07-02 Toshiba Corp 半導体装置および半導体装置の製造方法
JP4005602B2 (ja) * 2003-03-17 2007-11-07 富士通株式会社 半導体装置の製造方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002299607A (ja) * 2001-03-28 2002-10-11 Toshiba Corp Mis型電界効果トランジスタ及びこれの製造方法
JP2003008005A (ja) * 2001-06-21 2003-01-10 Matsushita Electric Ind Co Ltd 高誘電率絶縁膜を有する半導体装置
WO2003019643A1 (fr) * 2001-08-23 2003-03-06 Nec Corporation Dispositif semi-conducteur comportant un film isolant presentant une permittivite elevee et son procede de production
JP2003204061A (ja) * 2002-01-08 2003-07-18 Toshiba Corp 半導体装置及び半導体装置の製造方法
JP2003297826A (ja) * 2002-03-29 2003-10-17 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP2003347297A (ja) * 2002-05-27 2003-12-05 Kojundo Chem Lab Co Ltd 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
JP2005116727A (ja) * 2003-10-07 2005-04-28 Sony Corp 絶縁体薄膜の製造方法と絶縁体薄膜および半導体装置の製造方法と半導体装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
MASATO KOYAMA ET AL.: "Effects of Nitrogen in HfSiON Gate Dielectric on the Electrical and Thermal Characteristics", IEDM 2002, JPN6008037374, 2002, pages 849 - 852, ISSN: 0001097525 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8227032B2 (en) 2005-03-17 2012-07-24 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
US8613976B2 (en) 2005-03-17 2013-12-24 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon oxide containing films
JP2007005365A (ja) * 2005-06-21 2007-01-11 Nec Electronics Corp 高誘電率膜の成膜方法および半導体装置の製造方法
JP4554446B2 (ja) * 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2009514218A (ja) * 2005-10-26 2009-04-02 インターナショナル・ビジネス・マシーンズ・コーポレーション 二重の閾値電圧制御手段を有する低閾値電圧の半導体デバイス
JP2007324595A (ja) * 2006-05-30 2007-12-13 Air Products & Chemicals Inc 金属ケイ酸塩膜堆積用のケイ素源としてのジエチルシラン
KR100744423B1 (ko) 2006-08-28 2007-07-30 동부일렉트로닉스 주식회사 하프늄 실리케이트 산화막 형성 방법 및 이를 이용한반도체 소자의 제조 방법
JP2008135726A (ja) * 2006-10-23 2008-06-12 Interuniv Micro Electronica Centrum Vzw 主電極を含むドープされた金属を含む半導体装置
JP2010067958A (ja) * 2008-08-11 2010-03-25 Tokyo Electron Ltd 成膜方法および成膜装置
JP2015517200A (ja) * 2012-03-09 2015-06-18 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 薄膜トランジスター機器上にケイ素含有膜を製造する方法
US11626279B2 (en) 2012-03-09 2023-04-11 Versum Materials Us, Llc Compositions and methods for making silicon containing films

Also Published As

Publication number Publication date
EP1548839A1 (en) 2005-06-29
US7101753B2 (en) 2006-09-05
US20060273408A1 (en) 2006-12-07
US20050139937A1 (en) 2005-06-30
KR20050066936A (ko) 2005-06-30

Similar Documents

Publication Publication Date Title
JP2005191482A (ja) 半導体装置及びその製造方法
US7473994B2 (en) Method of producing insulator thin film, insulator thin film, method of manufacturing semiconductor device, and semiconductor device
JP4554446B2 (ja) 半導体装置の製造方法
JP5282419B2 (ja) 半導体装置及びその製造方法
US8334198B2 (en) Method of fabricating a plurality of gate structures
KR101282343B1 (ko) 금속게이트를 갖는 반도체장치 및 그 제조 방법
US8350335B2 (en) Semiconductor device including off-set spacers formed as a portion of the sidewall
US9385208B2 (en) Semiconductor device having high-K gate dielectric layer
JP2005079223A (ja) 半導体装置及び半導体装置の製造方法
JP2005064317A (ja) 半導体装置
JP2002299607A (ja) Mis型電界効果トランジスタ及びこれの製造方法
JP2007053392A (ja) Mis型電界効果トランジスタの製造方法及び半導体記憶装置の製造方法
US8580698B2 (en) Method for fabricating a gate dielectric layer
JP2005032908A (ja) 薄膜の形成方法
JP4170162B2 (ja) 半導体装置の製造方法
JP2012186349A (ja) 半導体装置及びその製造方法
JP2005317583A (ja) 半導体装置およびその製造方法
JP2004247474A (ja) 半導体装置及びその製造方法並びに成膜方法
JP5141321B2 (ja) 半導体装置の製造方法
JP2007266552A (ja) 半導体装置および半導体装置の製造方法
JP2006054382A (ja) 金属シリケート膜と金属シリケート膜の製造方法および半導体装置と半導体装置の製造方法
JP2005285805A (ja) 半導体装置の製造方法
JP2012033694A (ja) 半導体装置の製造方法、及び、半導体装置
JP2008066378A (ja) 半導体装置及びその製造方法
JP2007053299A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050511

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20050621

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080718

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080729

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081021

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090407