TWI645511B - 用於銅阻障層應用之摻雜的氮化鉭 - Google Patents

用於銅阻障層應用之摻雜的氮化鉭 Download PDF

Info

Publication number
TWI645511B
TWI645511B TW106139180A TW106139180A TWI645511B TW I645511 B TWI645511 B TW I645511B TW 106139180 A TW106139180 A TW 106139180A TW 106139180 A TW106139180 A TW 106139180A TW I645511 B TWI645511 B TW I645511B
Authority
TW
Taiwan
Prior art keywords
layer
dopant
tan
depositing
conductive material
Prior art date
Application number
TW106139180A
Other languages
English (en)
Other versions
TW201820539A (zh
Inventor
雷克須瑪南安娜瑪萊
馬伯方
張鎂
商珍妮佛
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201820539A publication Critical patent/TW201820539A/zh
Application granted granted Critical
Publication of TWI645511B publication Critical patent/TWI645511B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

所描述者為摻雜的TaN薄膜以及用於提供摻雜的TaN薄膜的方法。用Ru、Cu、Co、Mn、Al、Mg、Cr、Nb、Ti及/或V摻雜TaN薄膜得以增強TaN薄膜的銅阻障性質。亦描述者為提供薄膜的方法,該薄膜具有第一層及第二層,該第一層包含摻雜的TaN,該第二層包含Ru及Co中之一或多者,且選擇性地摻雜該第二層。

Description

用於銅阻障層應用之摻雜的氮化鉭
本發明大體而言係關於半導體裝置中的阻障層及形成該阻障層的方法。更特定言之,所揭示的方法係關於包含TaN與選擇的摻雜劑之薄膜。
微電子裝置(如半導體或積體電路)可以包括數以百萬計的電子電路裝置,如電晶體、電容器等。為了進一步提高積體電路上的裝置密度,需要甚至更小的特徵尺寸。為了實現這些更小的特徵尺寸,必須縮小導線、通孔與內連線、閘極等的尺寸。也需要可靠地形成多層次內連線結構來提高電路密度和品質。製造技術的進展已使得可用銅形成導線、內連線、通孔及其他結構。然而,隨著特徵尺寸縮小及銅內連線的使用增加,內連線結構中的電致遷移變成了需要克服的更大障礙。
氮化鉭在膜厚大於10A(TaN)時是銅阻障層,其中該薄膜是連續的。然而,因為Ta原子的直徑約為4A,故約5A厚的TaN薄膜是不連續的。對於需要更薄的TaN的較小節點,TaN本身可能是不連續的薄膜,因而限制了TaN的銅阻障性能。目前的方法是在TaN層頂部上包括Ta層,Ta層作為銅的濕潤層,並提供阻障薄膜的連續性。然而,對於較小的節點(小於32 nm),此方法會導致較大的線電阻,因此不是適當的解決方案。
因此,需要可作為有效的銅阻障層的薄層。
本發明的一個態樣係關於一種在微電子裝置中形成內連線的方法,該方法包含以下步驟:提供上方配置有介電層的基板,該介電層具有一或多個溝槽及/或通孔,該溝槽及/或通孔具有開口、側壁及底部;於至少一部分該溝槽及/或通孔的該側壁及/或底部上沉積阻障層,該阻障層包含TaN及一或多個摻雜劑,以提供內襯的溝槽及/或通孔;以及於該內襯的溝槽及/或通孔中沉積含Cu的導電材料。依據此態樣的一或多個實施例,該一或多個摻雜劑係選自Ru、Cu、Co、Mn、Al、Mg、Cr、Nb、Ti及V。在一些實施例中,該摻雜劑包含Mn。在其他的實施例中,該摻雜劑包含Ru、Cu及Co中之一或多者。依據一或多個實施例,該導電材料進一步包含Mn。
一或多個實施例提供了沉積該阻障層包含沉積交替的層,該等交替的層包含TaN及摻雜劑。在其他的實施例中,沉積該阻障層包含沉積TaN層及使該摻雜劑擴散進入該TaN層。
在一或多個實施例中,該方法進一步包含在沉積該含Cu的導電材料之前使該阻障層曝露於電漿處理。在某些實施例中,該電漿包含He、Ar、NH3 、H2 及N2 中之一或多者。
本發明的另一個態樣係關於一種在微電子裝置中形成內連線的方法,該方法包含以下步驟:提供上方配置有介電層的基板,該介電層具有一或多個溝槽及/或通孔,該溝槽及/或通孔具有開口、側壁及底部;於至少一部分該溝槽及/或通孔的該側壁及/或底部上沉積一第一層,該第一層包含TaN及一或多個第一摻雜劑,以提供內襯的溝槽及/或通孔;於該第一層上沉積第二層,該第二層包含Ru及Co中之一或多者;以及於該內襯的溝槽及/或通孔中沉積含Cu的導電材料。
依據此態樣的一或多個實施例,該一或多個第一摻雜劑係選自Ru、Cu、Co、Mn、Al、Mg、Cr、Nb、Ti及V。在一些實施例中,該第一摻雜劑包含Mn。在其他的實施例中,該第一摻雜劑包含Ru、Cu及Co中之一或多者。依據一或多個實施例,該導電材料進一步包含Mn。一或多個實施例提供了該第二層進一步包含一或多個第二摻雜劑,該第二摻雜劑係選自Mn、Al、Mg、Cr、Nb、Ti及V。
在一或多個實施例中,該第一層係藉由沉積交替的層所沉積,該等交替的層包含TaN及該第一摻雜劑。在某些實施例中,該第二層係藉由沉積交替的層所沉積,該等交替的層包含Ru或Co及該第二摻雜劑。
依據一或多個實施例,此態樣之方法進一步包含在沉積該導電材料之前使該第一層及/或第二層曝露於電漿處理。在某些實施例中,該電漿包含He、Ar、NH3 、H2 及N2 中之一或多者。
本發明之又一個態樣提供一種微電子裝置,包含:上方配置有介電層的基板,該介電層具有一或多個溝槽及/或通孔,該溝槽及/或通孔具有開口、側壁及底部;內襯於至少一部分該溝槽及/或通孔的該側壁及/或底部上的第一層,該第一層包含TaN及一或多個摻雜劑,該摻雜劑係選自Ru、Cu、Co、Mn、Al、Mg、Cr、Nb、Ti或V;包含Ru及Co中之一或多者的第二層,且該第二層位於該第一層上;以及含Cu的導電材料,該導電材料填充該內襯的溝槽及/或通孔。依據一或多個實施例,該第一層的厚度小於10埃。
在此態樣的一或多個實施例中,該導電材料進一步包含Mn。在某些實施例中,該導電材料包含重量百分比小於2%的Mn。
此態樣的一或多個實施例提供了該第二層進一步包含一或多個摻雜劑,該摻雜劑係選自Mn、Al、Mg、Cr、Nb、Ti及V。
在描述本發明的幾個示例性實施例之前,應瞭解到,本發明並不限於在以下的說明中提出的結構或製程步驟之細節。本發明能夠有其他的實施例並且能夠被以各種方式實施或進行。雖然在以下描述中具體提及溝槽,但將瞭解到,本文中描述的製程、薄膜和裝置可能涉及相似的結構,如通孔、矽穿孔(TSV)、雙鑲嵌結構及類似者。
本發明的一個態樣係關於包含基板、介電層、阻障層及導電材料的微電子裝置。第1A圖描繪微電子裝置100的實施例,微電子裝置100包含基板105和介電層110。介電層110被配置在基板105上,並且介電層110具有溝槽150,溝槽150係由溝槽底部120、側壁115及開口160所界定。
在一或多個實施例中,介電層110為低k介電層。在某些實施例中,介電層包含SiOx 。進一步的實施例中提供介電層包含多孔的碳摻雜SiOx 。在一些實施例中,介電層為k值小於3的多孔碳摻雜SiOx 層。
第1B圖圖示沉積阻障層130之後的同一微電子裝置100,阻障層130覆蓋至少一部分的側壁115及/或溝槽底部120。如第1B圖中所圖示,阻障層130可以覆蓋整個側壁115及溝槽底部120。阻障層130可以包含TaN和一或多個摻雜劑,該摻雜劑如Ru、Cu、Co、Mn、Al、Mg、Cr、Nb、Ti或V。依據一或多個實施例,阻障層包含TaN和Mn。在其他的實施例中,阻障層包含TaN和Ru、Cu及Co中之一或多者。
在一或多個實施例中,基於TaN層的重量,阻障層包含0.1至10 %的摻雜劑。在某些實施例中,阻障層包含0.2至8重量百分比(wt. %)的摻雜劑。在一些實施例中,阻障層包含0.5至5 wt. %的摻雜劑,如約0.5 wt. %的摻雜劑、約1 wt. %的摻雜劑、約1.5 wt. %的摻雜劑、約2 wt. %的摻雜劑、約2.5 wt. %的摻雜劑、約3 wt. %的摻雜劑、約3.5 wt. %的摻雜劑、約4 wt. %的摻雜劑、約4.5 wt. %的摻雜劑或約5 wt. %的摻雜劑。
依據一或多個實施例,本文中使用的「阻障層」係指藉由沉積TaN和一或多個摻雜劑所形成的不連續層,並且不包括其中第二元素或摻雜劑僅擴散進入一部分阻障層的區域。換句話說,一些實施例中提供摻雜劑係存在遍及TaN層的整個厚度,而非只存在於TaN層的表面部分。
導電填充材料140填充至少一部分內襯阻障層130的溝槽150。依據一或多個實施例,導電填充材料包含銅或銅合金。在進一步的實施例中,導電填充材料也包含Mn。在其他的實施例中,導電填充材料進一步包含Al。
雖然在第1B圖中圖示導電填充材料140直接與阻障層130接觸,但導電填充材料140與阻障層130之間也可以有中間層,如黏著層或種晶層。依據一或多個實施例,微電子裝置進一步包含黏著層,該黏著層包含Ru和Co中之一或多者。除了Ru及/或Co之外,該黏著層可包含一或多個摻雜劑,該摻雜劑如Mn、Al、Mg、Cr、Nb、Ti或V。在一些實施例中,該黏著層包含Ru和Mn。在其他的實施例中,該黏著層包含Co和Mn。
在某些實施例中,種晶層係沉積在阻障層的頂部上。依據一或多個實施例,該種晶層包含銅的合金,如Cu-Mn合金。在某些實施例中,該種晶層包含小於2 wt. %的Mn。在一些實施例中,該種晶層包含約1 wt. %的Mn。預期含有1 wt. %的Mn的銅合金之線電阻係與純銅之線電阻相同或近似。
雖然不希望受任何特定的理論束縛,但理解到摻雜劑可以選擇性地擴散穿過阻障層130到達介電層110,並與介電材料形成複合物,該複合物將可抵抗電致遷移。因此,在摻雜劑為Mn且介電層包含SiOx 的實施例中,Mn可以擴散穿過阻障層並形成MnSiOx 。此自身形成的MnSiOx 阻障層之後可以防止來自導電材料140的銅電致遷移到介電層110。
當阻障層大於10A時,例如25A,未摻雜的TaN作為銅阻障層是有效的。然而,此厚度的TaN層時常太厚而無法用於較小的節點,如那些小於32 nm者。
視所使用的摻雜劑而定,摻雜劑的穿透深度可能小於10A。因此,對於約10A的TaN層,摻雜劑可能不會穿透介電層形成摻雜劑-介電質複合物。然而,銅穿透深度可能大於10A,因而使得阻障層無效。因此,本發明的一或多個實施例提供小於10A的TaN層。在某些實施例中,TaN層係在4A至10A的範圍中。
除了作為銅阻障層,摻雜的TaN也可以是從介電層110擴散到導電材料140的氧的阻障層。從介電層110到導電材料140的氧擴散會導致氧與導電材料及/或種晶層中的成分反應。例如,假使導電材料140包含Mn,則氧會在阻障層130和導電材料140的介面與Mn反應,因而將Mn「釘入」阻障層/導電材料介面。結果,Mn無法在整個導電材料中分離。同樣地,假使存在包含Mn的種晶層,則氧會在種晶層/阻障層介面與種晶層中的Mn反應並將Mn釘入介面。
據信擴散進入TaN層的氧將會與摻雜劑反應並將防止氧擴散進入導電材料140。結果,氧將無法與種晶層或導電材料反應。
第2圖圖示微電子裝置200,微電子裝置200具有基板205和介電層210。介電層210具有側壁215和溝槽底部220,側壁215和溝槽底部220至少部分被第一層230覆蓋。第一層230可以是包含TaN及一或多個摻雜劑的阻障層,該摻雜劑如Ru、Cu、Co、Mn、Al、Mg、Nb、Ti或V。在一些實施例中,該第一層包含TaN和Mn。在其他的實施例中,該第一層包含TaN和Ru、Cu及Co中之一或多者。
第二層235位於第一層230上方而且可以包含Ru和Co中之一或多者。該第二層可進一步包含一或多個摻雜劑,該摻雜劑如Mn、Al、Mg、Cr、Nb、Ti或V。在一些實施例中,該第二層包含包含Ru和Mn。在其他的實施例中,該第二層包含Co和Mn。
導電填充材料240係沉積於由側壁215和溝槽底部220界定的溝槽之剩餘部分。依據一或多個實施例,該導電填充材料包含銅或銅合金。在進一步的實施例中,該導電填充材料也包含Mn。導電材料240可以直接沉積在第二層235上,或沉積在第二層235頂部上的種晶層(未圖示)上。依據一或多個實施例,該種晶層包含銅的合金,如Cu-Mn合金。在某些實施例中,該種晶層包含小於2 %的Mn。在一些實施例中,該種晶層包含約1 %的Mn。
除了上述的薄膜之外,本發明之另一個態樣係關於一種在微電子裝置中形成內連線的方法,該方法包含提供上方配置有介電層的基板、於該介電層上沉積阻障層及於該阻障層上沉積導電材料。依據此態樣之一或多個實施例,該阻障層包含TaN和摻雜劑,該摻雜劑係選自Ru、Cu、Co、Mn、Al、Mg、Cr、Nb、Ti或V。在一些實施例中,該摻雜劑為Mn。在其他的實施例中,該摻雜劑包含Ru、Cu及Co中之一或多者。
摻雜的TaN層可以藉由任何適當的沉積製程來形成。例如,可以藉由交替層沉積(ALD)製程或電漿增強原子層沉積(PEALD)來沉積TaN。然後可以藉由化學氣相沉積(CVD)、物理氣相沉積(PVD)或ALD來沉積摻雜劑。之後摻雜劑擴散進入TaN層,以形成整合的TaN摻雜劑層。摻雜劑可以經由各種製程擴散進入TaN層,包括藉由電漿處理及藉由加熱。
或者,可以將TaN和摻雜劑沉積在交替的層中。依據此實施例,第一TaN層(如TaN單層)係沉積在介電膜上。然後將第一摻雜劑層(如一層摻雜劑、摻雜劑合金或其他含摻雜劑的化合物)沉積在第一TaN的頂部上。此第一摻雜劑層也可以是單層。之後將第二TaN層沉積在第一摻雜劑層的頂部上。重複此製程直到生產出具有所需厚度的經摻雜的TaN薄膜。
值得注意的是,這些製程的某些實施例將產生摻雜劑遍佈整個TaN層的薄膜,而非分離的阻障層(如Mn)在TaN阻障層的頂部上。因為摻雜劑已經存在TaN層中,故摻雜劑可以更容易地擴散到介電層,以形成摻雜劑-阻障層複合物,如MnSiOx 。相反地,假使摻雜劑只作為分離的阻障層存在於TaN層的頂部上,則摻雜劑在抵達介電層之前必須擴散穿透TaN層的整個厚度。
用於沉積TaN薄膜的適當前驅物包括含Ta前驅物和含N前驅物。例如,含Ta前驅物可以是五(二甲基氨基)鉭(PDMAT),而且含N前驅物可以是氨。其他適當的前驅物為熟悉該項技藝之人士所習知者。用於TaN的含有機物前驅物中的有機物種可能會部分摻入介電層,而提高TaN-介電層介面處的黏著性。
對於沉積摻雜劑金屬,可以使用適當的含金屬前驅物。適當的前驅物實例包括含有所需摻雜劑的金屬複合物,如與有機或羰基配位體配位的摻雜劑金屬。適當的摻雜劑前驅物應具有足夠的蒸汽壓,以在適當的製程(如ALD、CVD及PVD)中進行沉積。視使用的摻雜劑前驅物而定,可以使用共反應物來沉積摻雜劑。例如,可以使用還原氣體(如氫和氨)作為共反應物用於沉積一些摻雜劑。
本發明的某些實施例提供在沉積導電材料之前以電漿處理摻雜的TaN薄膜。依據一或多個實施例,該電漿包含He、Ar、NH3 、H2 及N2 中之一或多者。在一些實施例中,該電漿可包含Ar和H2 的混合物,如具有Ar:H2 莫耳比在1:1至1:10範圍中的混合物。電漿功率可以在約400至約1000瓦特的範圍中。電漿處理時間可以在5秒至60秒間變化,如在10秒至30秒的範圍中。在一些實施例中,電漿處理過程中的壓力可以在0.5至50陶爾(Torr)的範圍中,如1至10 Torr。或者,在一些實施例中,阻障層不是摻雜的TaN。在這些實施例中,阻障層可包含如上所述隨後曝露於電漿處理的MnNx 或TaN。
可以用各種方式沉積導電材料,包括藉由無電極沉積製程、電鍍(ECP)製程、CVD製程或PVD製程。在某些實施例中,將第一種晶層沉積於阻障層上,然後在該種晶層上形成體導電層。
本發明的另一個態樣係關於一種在微電子裝置中形成內連線的方法,該方法包含提供上面配置有介電層的基板、於該介電層上沉積第一層、於該第一層上沉積第二層及於該第二層上沉積導電材料。在此態樣的實施例中,該第一層可包含TaN和一或多個摻雜劑,該摻雜劑係選自Ru、Cu、Co、Mn、Al、Mg、Cr、Nb、Ti及V。在一些實施例中,該第一層包含TaN和Mn。在其他的實施例中,該第一層包含TaN和Ru、Cu及Co中之一或多者。摻雜的TaN層可以藉由任何適當的沉積製程形成,如上述的那些沉積製程。
依據一或多個實施例,該第二層包含Ru和Co中之一或多者。在某些實施例中,該第二層進一步包含一或多個摻雜劑,該摻雜劑係選自Mn、Al、Mg、Cr、Nb、Ti及V。在一些實施例中,該第二層包含Ru和Mn。在其他的實施例中,該第二層包含Co和Mn。
該第二層可以藉由任何適當的沉積製程沉積,包括CVD、PVD、ALD及PEALD。在該第二層包含鈷的實施例中,用於該第二層的適當前驅物包括但不限於鈷羰基複合物、鈷脒基(cobalt amidinates)化合物、二茂鈷(cobaltocene)化合物、鈷二烯基複合物、鈷亞硝基複合物及上述物質之衍生物和組合。在該第二層包含釕的實施例中,適當的前驅物包括但不限於吡咯基釕前驅物,如戊二烯吡咯基釕前驅物、環戊二烯吡咯基釕前驅物、烷基戊二烯吡咯基釕前驅物或烷基環戊二烯吡咯基釕前驅物。
至於TaN薄膜的摻雜,可以藉由沉積包含Ru和Co中之一或多者的層然後將摻雜劑擴散進入Ru及/或Co層來摻雜該第二層。或者,可將Ru及/或Co和摻雜劑沉積於交替的層中直到形成具有所需厚度的薄膜。
此外,可以在任何適當的製程中沉積導電材料,該製程包括上述的那些製程。在沉積導電材料之前可以用電漿處理摻雜的TaN,該電漿如包含He、Ar、NH3、H2及N2中之一或多者的電漿。
依據本發明之各種實施例的薄膜可以被沉積在幾乎任何的基材上。本文中使用的「基板表面」係指任何形成於基板上的基材或材料表面,在製造製程的過程中薄膜處理係於該基板上進行。舉例來說,上面可以進行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻雜碳的矽氧化物、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石等材料,以及任何其他的材料,諸如金屬、金屬氮化物、金屬合金及其他的導電材料,視應用而定。在基板表面上的阻障層、金屬或金屬氮化物包括鈦、氮化鈦、氮化鎢、鉭和氮化鉭、鋁、銅或任何其他的導體或導電或不導電的、可用於裝置製造的阻障層。基板可以具有各種的尺寸,如直徑200 mm或300 mm的晶圓,以及矩形或方形的窗玻璃片。可以使用本發明之實施例的基板包括但不限於半導體晶圓,諸如結晶矽(例如Si<100>或Si<111>)、氧化矽、應變矽、鍺矽、摻雜的或未摻雜的多晶矽、摻雜的或未摻雜的矽晶圓、III-V族材料諸如GaAs、GaN、InP等以及圖案化或未圖案化的晶圓。可使基板進行預處理製程,以拋光、蝕刻、還原、氧化、羥化、退火及/或烘烤基板表面。
由於本發明之實施例提供沉積或形成摻雜的TaN薄膜的方法,故在氣相沉積製程的過程中設置處理腔室來使基板曝露於依序的氣體及/或電漿中。處理腔室可包括個別供應反應物,以及供應任何載體氣體、淨化氣體及惰性氣體,諸如與各反應物和氣體的氣體入口流體連接的氬氣和氮氣。可以藉由適合的流量控制器來控制每個入口,該流量控制器如與中央處理單元(CPU)通訊的質量流量控制器或體積流量控制器,以允許每個到基板的反應物之流動進行如本文中所述的沉積製程。中央處理單元可以是任何形式的電腦處理器中之一者,該電腦處理器可用於工業設定,以控制各腔室和子處理器。CPU可以耦接到記憶體,並且該CPU可以是一或多個容易買到的記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、快閃記憶體、光碟、軟碟、硬碟、或任何其他形式的本端或遠端數位儲存器。可將支援電路耦接到該CPU,而以現有的方式支援該CPU。這些電路包括緩存、電源、時鐘電路、輸入/輸出電路、子系統及類似者。
共反應物通常是處於蒸汽或氣體的形式。可以用載體氣體輸送反應物。載體氣體、淨化氣體、沉積氣體或其他的處理氣體可以含有氮、氫、氬、氖、氦或上述物質之組合。本文所述的各種電漿(如氮電漿或惰性氣體電漿)可由電漿共反應物氣體激發及/或含有電漿共反應物氣體。
在一或多個實施例中,用於製程的各種氣體可以被脈衝化而進入入口、經過氣體通道、來自各種孔或出口、並進入中央通道。在一或多個實施例中,可以依序脈衝化沉積氣體到達噴灑頭且通過噴灑頭。或者,如上所述,該等氣體可以同時流經氣體供應噴嘴或氣體供應頭,而且可以移動該基板及/或該氣體供應頭,使基板依序曝露於該等氣體。
在另一個實施例中,可以在電漿增強原子層沉積(PEALD)製程期間形成摻雜的TaN薄膜,PEALD製程依序提供前驅物和電漿脈衝。在具體的實施例中,共反應物可能涉及電漿。在其他涉及使用電漿的實施例中,在電漿步驟期間試劑通常會在製程過程中離子化,雖然此離子化可能只發生在沉積腔室上游,使得離子或其他激發的或發光物種不與沉積薄膜直接接觸,這種架構時常被稱為遠端電漿。因此,在這種類型的PEALD製程中,電漿係從處理腔室外部產生,如藉由遠端電漿產生器系統。在PEALD製程過程中,電漿可由微波(MW)頻率產生器或射頻(RF)產生器產生。雖然可以在本文揭示的沉積製程過程中使用電漿,但應注意到,電漿並非必需的。事實上,其他的實施例係關於在非常溫和的條件下的沉積製程,沒有使用電漿。
本發明之另一個態樣係關於一種用於在基板上沉積薄膜的設備,以依據上述任一實施例進行製程。在一個實施例中,該設備包含用於在基板上沉積薄膜的沉積腔室。該沉積腔室包含用於支撐基板的處理區域。該設備包括與Ta前驅物供應流體連接的前驅物入口,Ta前驅物如五(二甲基氨基)鉭(PDMAT)。該設備亦包括與含氮前驅物供應流體連接的反應物氣體入口,含氮前驅物如氨。該設備亦包括與摻雜物前驅物供應流體連接的反應物氣體入口,摻雜物前驅物如含摻雜物的金屬複合物。該設備進一步包括與淨化氣體流體連接的淨化氣體入口。該設備可以進一步包括真空端口,該真空端口用以從沉積腔室移除氣體。該設備可以進一步包括輔助氣體入口,該輔助氣體入口用以供應一或多種輔助氣體(如惰性氣體)到沉積腔室。該沉積腔室可以進一步包括用以藉由輻射及/或電阻熱加熱基板的工具。
在一些實施例中,可以將在本文所述方法的過程中可用於沉積或形成薄膜的電漿系統和處理腔室或系統實施於PRODUCER®、CENTURA®或ENDURA®系統中之任一者上,該等系統皆可向位於加州聖大克勞拉市的應用材料公司(Applied Materials, Inc., located in Santa Clara, Calif.)取得。ALD處理腔室之詳細描述可在一般受讓的美國專利第6,878,206號、第6,916,398號以及第7,780,785號中找到。實例
在各種阻障層薄膜上進行偏壓熱應力(BTS)測試,每個薄膜的組成顯示於下表1中: 表1:阻障層薄膜組成
使接受電漿後處理的薄膜曝露於含1:1至1:10的Ar:H2 混合物的電漿中持續10至30秒,電漿功率為400至1000 W,且壓力為1至10 Torr。
摻雜Mn的TaN薄膜含有約10A的TaN和約1A的Mn。TaN薄膜曝露於Mn前驅物摻雜持續1至5秒並選擇性地進行5至30秒的NH3 浸泡。
BTS測試的結果圖示於第3圖。薄膜8和9為具有0V的eWF偏移的基線。第3圖中較低的eWF偏移說明阻障層薄膜具有較佳的阻障性質。如從第3圖可以看到的,薄膜4(對應於10A摻雜Mn的TaN)與薄膜1(10A的MnNx )相比展現出優異的阻障性質,並且具有與較大厚度的MnNx 薄膜(薄膜2)相當的阻障性質。電漿後處理強化了所有薄膜的阻障性質。
貫穿本說明書提及的「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」係指關於該實施例所述的特定特徵、結構、材料或特性係被包括在本發明之至少一個實施例中。因此,貫穿本說明書各處出現的片語如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」不一定是指本發明相同的實施例。此外,可以在一或多個實施例中以任何適合的方式組合特定的特徵、結構、材料或特性。
雖然已經參照特定的實施例描述了本文中的發明,但瞭解到,這些實施例僅是對本發明的原理和應用之說明。對於本技術領域中具有通常知識者而言,在不偏離本發明之精神和範圍下對本發明之方法和設備作出的各種修改和變化將是顯而易見的。因此,意圖使本發明包括在隨附申請專利範圍及該隨附申請專利範圍的均等物之範圍內的修改和變化。
100‧‧‧微電子裝置
105‧‧‧基板
110‧‧‧介電層
115‧‧‧側壁
120‧‧‧溝槽底部
130‧‧‧阻障層
140‧‧‧導電材料
150‧‧‧溝槽
160‧‧‧開口
200‧‧‧微電子裝置
205‧‧‧基板
210‧‧‧介電層
215‧‧‧側壁
220‧‧‧溝槽底部
230‧‧‧第一層
235‧‧‧第二層
240‧‧‧導電填充材料
為了更詳細瞭解上述本發明之特徵,可參照實施例對以上概述之發明內容做更詳細的描述,其中某些實施例係說明於隨附圖示。然而,應注意的是,隨附圖示僅說明本發明典型的實施例,因此不應將該等隨附圖示視為限制本發明之範疇,因本發明可認可其他等同有效之實施例。
第1A圖和第1B圖圖示依據本發明的一或多個實施例沉積阻障層和導電填充材料之前及之後的介電層;以及
第2圖圖示依據本發明的一或多個實施例具有第一層、第二層及導電填充材料的介電層。
第3圖圖示來自各種阻障層薄膜之偏壓熱應力測試的結果。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種在一微電子裝置中形成內連線的方法,包含以下步驟: 提供上方配置有一介電層的一基板,該介電層具有一或多個溝槽及/或通孔,該溝槽及/或通孔具有一開口、一側壁及一底部;於至少一部分該溝槽及/或通孔的該側壁及/或底部上沉積一阻障層,該阻障層包含TaN及一或多個摻雜劑,該摻雜劑係選自Ru、Cu、Co、Mn、Al、Mg、Cr、Nb、Ti及V,以提供一內襯的溝槽及/或通孔;以及於該內襯的溝槽及/或通孔中沉積一含Cu的導電材料。
  2. 如請求項1所述之方法,其中該導電材料進一步包含Mn。
  3. 如請求項1所述之方法,其中該摻雜劑包含Mn。
  4. 如請求項1所述之方法,其中該摻雜劑包含Ru、Cu及Co中之一或多者。
  5. 如請求項1所述之方法,其中沉積該阻障層之步驟包含:沉積交替的層,該等交替的層包含TaN及摻雜劑。
  6. 如請求項1所述之方法,其中沉積該阻障層之步驟包含:沉積一TaN層及使該摻雜劑擴散進入該TaN層。
  7. 如請求項1所述之方法,該方法進一步包含:在沉積該含Cu的導電材料之前使該阻障層曝露於電漿處理。
  8. 如請求項7所述之方法,其中該電漿包含He、Ar、NH3 、H2 及N2 中之一或多者。
  9. 一種在一微電子裝置中形成內連線的方法,包含以下步驟: 提供上方配置有一介電層的一基板,該介電層具有一或多個溝槽及/或通孔,該溝槽及/或通孔具有一開口、一側壁及一底部; 於至少一部分該溝槽及/或通孔的該側壁及/或底部上沉積一第一層,該第一層包含TaN及一或多個第一摻雜劑,該第一摻雜劑係選自Ru、Cu、Co、Mn、Al、Mg、Cr、Nb、Ti及V,以提供一內襯的溝槽及/或通孔; 於該第一層上沉積一第二層,該第二層包含Ru及Co中之一或多者;以及 於該內襯的溝槽及/或通孔中沉積一含Cu的導電材料。
  10. 如請求項9所述之方法,其中該導電材料進一步包含Mn。
  11. 如請求項9所述之方法,其中該第一摻雜劑包含Mn。
  12. 如請求項9所述之方法,其中該第二層進一步包含一或多個第二摻雜劑,該第二摻雜劑係選自Mn、Al、Mg、Cr、Nb、Ti及V。
  13. 如請求項9所述之方法,其中該第一層係藉由沉積交替的層所沉積,該等交替的層包含TaN及該第一摻雜劑。
  14. 如請求項12所述之方法,其中該第二層係藉由沉積交替的層所沉積,該等交替的層包含Ru或Co及該第二摻雜劑。
  15. 如請求項9所述之方法,該方法進一步包含:在沉積該導電材料之前使該第一層曝露於電漿處理。
  16. 如請求項15所述之方法,其中該電漿包含He、Ar、NH3 、H2 及N2 中之一或多者。
  17. 一種微電子裝置,包含: 一基板,該基板上方配置有一介電層,該介電層具有一或多個溝槽及/或通孔,該溝槽及/或通孔具有一開口、一側壁及一底部; 一第一層,該第一層內襯於至少一部分該溝槽及/或通孔的該側壁及/或底部上,該第一層包含TaN及一或多個摻雜劑,該摻雜劑係選自Ru、Cu、Co、Mn、Al、Mg、Cr、Nb、Ti及V; 一第二層,該第二層包含Ru及Co中之一或多者,且該第二層位於該第一層上;以及 一含Cu的導電材料,該導電材料填充該內襯的溝槽及/或通孔。
  18. 如請求項17所述之微電子裝置,其中該導電材料進一步包含Mn。
  19. 如請求項17所述之微電子裝置,其中該第二層進一步包含一或多個摻雜劑,該摻雜劑係選自Mn、Al、Mg、Cr、Nb、Ti及V。
  20. 如請求項17所述之微電子裝置,其中該第一層的厚度小於10埃。
TW106139180A 2011-12-01 2012-11-21 用於銅阻障層應用之摻雜的氮化鉭 TWI645511B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161565641P 2011-12-01 2011-12-01
US61/565,641 2011-12-01

Publications (2)

Publication Number Publication Date
TW201820539A TW201820539A (zh) 2018-06-01
TWI645511B true TWI645511B (zh) 2018-12-21

Family

ID=48523404

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106139180A TWI645511B (zh) 2011-12-01 2012-11-21 用於銅阻障層應用之摻雜的氮化鉭
TW101143464A TWI633624B (zh) 2011-12-01 2012-11-21 用於銅阻障層應用之摻雜的氮化鉭

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW101143464A TWI633624B (zh) 2011-12-01 2012-11-21 用於銅阻障層應用之摻雜的氮化鉭

Country Status (5)

Country Link
US (1) US10784157B2 (zh)
KR (1) KR102036245B1 (zh)
CN (1) CN103959443B (zh)
TW (2) TWI645511B (zh)
WO (1) WO2013082370A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8962478B1 (en) * 2013-11-13 2015-02-24 Globalfoundries Inc. Method to use self-repair Cu barrier to solve barrier degradation due to Ru CMP
US9607888B2 (en) 2014-02-03 2017-03-28 Tokyo Electron Limited Integration of ALD barrier layer and CVD Ru liner for void-free Cu filling
CN105870049A (zh) * 2015-01-19 2016-08-17 中芯国际集成电路制造(上海)有限公司 铜互连结构的制作方法、半导体器件及电子装置
US9805976B2 (en) * 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9947621B2 (en) 2016-08-05 2018-04-17 International Business Machines Corporation Structure and method to reduce copper loss during metal cap formation
US10229851B2 (en) 2016-08-30 2019-03-12 International Business Machines Corporation Self-forming barrier for use in air gap formation
US9786760B1 (en) * 2016-09-29 2017-10-10 International Business Machines Corporation Air gap and air spacer pinch off
CN108666261A (zh) * 2017-03-29 2018-10-16 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109216261B (zh) * 2017-07-03 2021-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10763168B2 (en) 2017-11-17 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped via plug and method for forming the same
WO2019173668A1 (en) * 2018-03-08 2019-09-12 Atomera Incorporated Semiconductor device including enhanced contact structures having a superlattice and related methods
KR102637671B1 (ko) * 2018-08-11 2024-02-15 어플라이드 머티어리얼스, 인코포레이티드 그래핀 확산 장벽
CN110854102A (zh) * 2018-08-20 2020-02-28 中芯国际集成电路制造(上海)有限公司 金属互连线及其形成方法
CN112117259A (zh) * 2019-06-20 2020-12-22 中芯国际集成电路制造(上海)有限公司 半导体器件及形成方法
US11270911B2 (en) * 2020-05-06 2022-03-08 Applied Materials Inc. Doping of metal barrier layers
US11587873B2 (en) 2020-05-06 2023-02-21 Applied Materials, Inc. Binary metal liner layers
US11676898B2 (en) 2020-06-11 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion barrier for semiconductor device and method
US11410881B2 (en) * 2020-06-28 2022-08-09 Applied Materials, Inc. Impurity removal in doped ALD tantalum nitride

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI235454B (en) * 2003-09-04 2005-07-01 Taiwan Semiconductor Mfg An interconnect structure and method for fabricating the same
TW200818318A (en) * 2006-08-29 2008-04-16 Applied Materials Inc Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976928A (en) * 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US7101790B2 (en) * 2003-03-28 2006-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a robust copper interconnect by dilute metal doping
US7199048B2 (en) * 2003-07-24 2007-04-03 Novellus Systems, Inc. Method for preventing metalorganic precursor penetration into porous dielectrics
US20050070109A1 (en) * 2003-09-30 2005-03-31 Feller A. Daniel Novel slurry for chemical mechanical polishing of metals
DE102004003863B4 (de) * 2004-01-26 2009-01-29 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung eingebetteter Metallleitungen mit einer erhöhten Widerstandsfähigkeit gegen durch Belastung hervorgerufenen Materialtransport
US7157795B1 (en) 2004-09-07 2007-01-02 Advanced Micro Devices, Inc. Composite tantalum nitride/tantalum copper capping layer
DE102005023122A1 (de) 2005-05-19 2006-11-23 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Schichtstapel und Verfahren
TWM279574U (en) * 2005-07-08 2005-11-01 Heng Kuo Co Ltd Fine-tuning structure for hydraulic disc brake
US20100013096A1 (en) * 2006-10-03 2010-01-21 Nippon Mining & Metals Co., Ltd. Cu-Mn Alloy Sputtering Target and Semiconductor Wiring
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
JP5103914B2 (ja) * 2007-01-31 2012-12-19 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
US20100200991A1 (en) * 2007-03-15 2010-08-12 Rohan Akolkar Dopant Enhanced Interconnect
WO2008126206A1 (ja) * 2007-03-27 2008-10-23 Fujitsu Microelectronics Limited 半導体装置の製造方法
US7642189B2 (en) * 2007-12-18 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Synergy effect of alloying materials in interconnect structures
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8013445B2 (en) * 2008-02-29 2011-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance high reliability contact via and metal line structure for semiconductor device
US7808106B1 (en) * 2008-05-09 2010-10-05 Eric Eisenbraun Nano-laminate difussion barrier for direct electrochemical deposition copper
US8679970B2 (en) 2008-05-21 2014-03-25 International Business Machines Corporation Structure and process for conductive contact integration
US8945675B2 (en) * 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US8586397B2 (en) * 2011-09-30 2013-11-19 Sunpower Corporation Method for forming diffusion regions in a silicon substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI235454B (en) * 2003-09-04 2005-07-01 Taiwan Semiconductor Mfg An interconnect structure and method for fabricating the same
TW200818318A (en) * 2006-08-29 2008-04-16 Applied Materials Inc Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer

Also Published As

Publication number Publication date
CN103959443A (zh) 2014-07-30
WO2013082370A1 (en) 2013-06-06
US20130140698A1 (en) 2013-06-06
KR20140099311A (ko) 2014-08-11
US10784157B2 (en) 2020-09-22
TW201330175A (zh) 2013-07-16
TWI633624B (zh) 2018-08-21
TW201820539A (zh) 2018-06-01
CN103959443B (zh) 2018-02-09
KR102036245B1 (ko) 2019-10-24

Similar Documents

Publication Publication Date Title
TWI645511B (zh) 用於銅阻障層應用之摻雜的氮化鉭
US11587829B2 (en) Doping control of metal nitride films
US7521379B2 (en) Deposition and densification process for titanium nitride barrier layers
KR102189781B1 (ko) 망간 및 망간 니트라이드들의 증착 방법들
TWI333234B (en) Integration of ald/cvd barriers with porous low k materials
US9076661B2 (en) Methods for manganese nitride integration
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
KR20190101497A (ko) 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능
US7799681B2 (en) Method for forming a ruthenium metal cap layer
WO2002067319A2 (en) Copper interconnect structure having diffusion barrier
TWI609095B (zh) 用於氮化錳整合之方法
TW201545895A (zh) 鈷錳氣相沉積
US7041582B2 (en) Method of manufacturing semiconductor device
WO2023033901A1 (en) Method of forming a metal liner for interconnect structures