KR102036245B1 - 구리 배리어 적용들을 위한 도핑된 탄탈룸 질화물 - Google Patents

구리 배리어 적용들을 위한 도핑된 탄탈룸 질화물 Download PDF

Info

Publication number
KR102036245B1
KR102036245B1 KR1020147018262A KR20147018262A KR102036245B1 KR 102036245 B1 KR102036245 B1 KR 102036245B1 KR 1020147018262 A KR1020147018262 A KR 1020147018262A KR 20147018262 A KR20147018262 A KR 20147018262A KR 102036245 B1 KR102036245 B1 KR 102036245B1
Authority
KR
South Korea
Prior art keywords
layer
tan
depositing
dopant
vias
Prior art date
Application number
KR1020147018262A
Other languages
English (en)
Other versions
KR20140099311A (ko
Inventor
안나말라이 라크쉬마난
폴 에프. 마
메이 창
제니퍼 샨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140099311A publication Critical patent/KR20140099311A/ko
Application granted granted Critical
Publication of KR102036245B1 publication Critical patent/KR102036245B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

도핑된 TaN 필름들뿐만 아니라, 도핑된 TaN 필름들을 제공하기 위한 방법들이 기술된다. Ru, Cu, Co, Mn, Al, Mg, Cr, Nb, Ti 및/또는 V로 TaN 필름들을 도핑하는 것에 의해서, TaN 필름들의 향상된 구리 배리어 성질들이 가능해진다. 또한, 제 2 층의 선택적인 도핑에 대해서, 도핑된 TaN을 포함하는 제 1 층 및 Ru 및 Co 중 하나 또는 둘 이상을 포함하는 제 2 층을 가지는 필름들을 제공하는 방법들이 기술된다.

Description

구리 배리어 적용들을 위한 도핑된 탄탈룸 질화물{DOPED TANTALUM NITRIDE FOR COPPER BARRIER APPLICATIONS}
본원 발명은 일반적으로 반도체 디바이스들 내의 배리어 층들에 관한 것이고, 그리고 그러한 배리어 층들을 형성하는 방법들에 관한 것이다. 보다 구체적으로, 개시된 방법은 TaN 및 선택된 도펀트들을 포함하는 필름들에 관한 것이다.
반도체들 또는 집적 회로들과 같은, 마이크로전자 디바이스들이 트랜지스터들, 커패시터들, 등과 같은 수백만 개의 전자 회로 디바이스들을 포함할 수 있다. 집적 회로들 내에서 발견되는 디바이스들의 밀도를 추가적으로 높이기 위해서, 훨씬 더 작은 피쳐(feature) 크기들이 요구된다. 이러한 더 작은 피쳐 크기들을 성취하기 위해서, 전도성 라인들, 비아들, 및 인터커넥트들, 게이트들 등의 크기가 반드시 감소되어야 한다. 복수레벨(multilevel) 인터커넥트 구조물들의 신뢰가능한 형성이 또한 회로 밀도 및 품질을 높이기 위해서 필요하다. 제조 기술들의 발전들은 전도성 라인들, 인터커넥트들, 비아들, 및 다른 구조물들을 위해서 구리의 이용을 가능하게 하였다. 그러나, 피쳐 크기가 감소되고 인터커넥션들을 위한 구리 이용이 증가됨에 따라, 인터커넥트 구조물들 내의 전자이동(electromigration)은 극복하여야 할 보다 큰 장애물이 된다.
탄탈룸 질화물(TaN)은 10A보다 두꺼운 필름 두께들에서의 구리 배리어이고, 상기 필름은 연속적이다. 그러나, Ta 원자의 직경이 약 4A이기 때문에, 약 5A 두께의 TaN 필름들은 연속적이지 않다. 보다 얇은 TaN이 요구되는 보다 작은 노드들(nodes)에 대해서, TaN 자체가 불연속적인 필름일 수 있고, 그에 따라 그 구리 배리어 성질들을 제한할 수 있을 것이다. 현재의 방법들은 TaN 층의 상단부 상의 Ta 층을 포함하고, 그러한 Ta 층은 구리에 대한 습윤(wetting) 층으로서의 역할을 하고 그리고 배리어 필름의 연속성을 제공한다. 그러나, 보다 작은 노드들(32nm 미만)의 경우에, 이러한 방법은 보다 큰 라인 저항을 유도하고 그에 따라 적절한 해결책이 되지 못한다.
따라서, 효과적인 구리 배리어들인 얇은 층들이 요구되고 있다.
본원 발명의 하나의 양태는 마이크로전자 디바이스 내에 인터커넥션들을 형성하기 위한 방법에 관한 것으로서, 상기 방법은: 상부에 배치된 유전체 층을 포함하는 기판을 제공하는 단계로서, 상기 유전체 층이, 개구부, 측벽 및 하단부를 구비하는 하나 또는 둘 이상의 트렌치들 및/또는 비아들을 가지는, 기판 제공 단계; 라이닝된(lined) 트렌치 및/또는 비아를 제공하기 위해서 상기 트렌치 및/또는 비아의 측벽 및/또는 하단부의 적어도 일부 상에 TaN 및 하나 또는 둘 이상의 도펀트들을 포함하는 배리어 층을 증착하는 단계; 및 Cu를 포함하는 전도성 재료를 라이닝된 트렌치 및/또는 비아 내로 증착하는 단계를 포함한다. 이러한 양태의 하나 또는 둘 이상의 실시예들에 따라서, 하나 또는 둘 이상의 도펀트들이 Ru, Cu, Co, Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된다. 일부 실시예들에서, 도펀트가 Mn을 포함한다. 다른 실시예들에서, 도펀트가 Ru, Cu 및 Co 중 하나 또는 둘 이상을 포함한다. 하나 또는 둘 이상의 실시예들에 따라서, 전도성 재료가 Mn을 더 포함한다.
하나 또는 둘 이상의 실시예들은, 배리어 층을 증착하는 단계가 TaN 및 도펀트를 포함하는 교번적인(alternating) 층들을 증착하는 단계를 포함하는 것을 제공한다. 다른 실시예들에서, 배리어 층을 증착하는 단계가 TaN 층을 증착시키는 단계 및 도펀트를 상기 TaN 층 내로 확산시키는 단계를 포함한다.
하나 또는 둘 이상의 실시예들에서, 방법은, Cu를 포함하는 전도성 재료를 증착하는 단계에 앞서서, 상기 배리어 층을 플라즈마 처리에 노출시키는 단계를 더 포함한다. 특정 실시예들에서, 플라즈마가 He, Ar, NH3, H2 및 N2 중 하나 또는 둘 이상을 포함한다.
발명의 다른 양태는 마이크로전자 디바이스 내에서 인터커넥션들을 형성하기 위한 방법에 관한 것으로서, 상기 방법은: 상부에 배치된 유전체 층을 포함하는 기판을 제공하는 단계로서, 상기 유전체 층이, 개구부, 측벽 및 하단부를 구비하는 하나 또는 둘 이상의 트렌치들 및/또는 비아들을 가지는, 기판 제공 단계; 라이닝된 트렌치 및/또는 비아를 제공하기 위해서 상기 트렌치 및/또는 비아의 측벽 및/또는 하단부의 적어도 일부 상에 TaN 및 하나 또는 둘 이상의 도펀트들을 포함하는 제 1 층을 증착하는 단계; 상기 제 1 층 상에 Ru 및 Co 중 하나 또는 둘 이상을 포함하는 제 2 층을 증착하는 단계; 및 Cu를 포함하는 전도성 재료를 상기 라이닝된 트렌치 및/또는 비아 내로 증착하는 단계를 포함한다.
이러한 양태의 하나 또는 둘 이상의 실시예들에 따라서, 하나 또는 둘 이상의 제 1 도펀트들이 Ru, Cu, Co, Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된다. 다른 실시예들에서, 제 1 도펀트가 Mn을 포함한다. 다른 실시예들에서, 제 1 도펀트가 Ru, Cu 및 Co 중 하나 또는 둘 이상을 포함한다. 하나 또는 둘 이상의 실시예들에 따라서, 상기 전도성 재료가 Mn을 더 포함한다. 하나 또는 둘 이상의 실시예들은, 상기 제 2 층이 Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 하나 또는 둘 이상의 제 2 도펀트들을 더 포함하는 것을 제공한다.
하나 또는 둘 이상의 실시예들에서, 제 1 층이 TaN 및 제 1 도펀트를 포함하는 교번적인 층들을 증착하는 것에 의해서 증착된다. 특정 실시예들에서, Ru 또는 Co 및 제 2 도펀트를 포함하는 교번적인 층들을 증착하는 것에 의해서 상기 제 2 층이 증착된다.
하나 또는 둘 이상의 실시예들에 따라서, 이러한 양태의 방법이, 상기 전도성 재료를 증착하는 단계에 앞서서, 상기 제 1 층 및/또는 상기 제 2 층을 플라즈마 처리에 노출시키는 단계를 더 포함한다. 특정 실시예들에서, 플라즈마가 He, Ar, NH3, H2 및 N2 중 하나 또는 둘 이상을 포함한다.
발명의 또 다른 양태는 마이크로전자 디바이스를 제공하고, 상기 마이크로전자 디바이스는: 상부에 배치된 유전체 층을 포함하는 기판으로서, 상기 유전체 층이 개구부, 측벽 및 하단부를 구비하는 하나 또는 둘 이상의 트렌치들 및/또는 비아들을 가지는, 기판; 상기 트렌치 및/또는 비아의 측벽 및/또는 하단부의 적어도 일부를 라이닝하는 제 1 층으로서, 상기 제 1 층이 TaN, 그리고 Ru, Cu, Co, Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 하나 또는 둘 이상의 도펀트들을 포함하는, 제 1 층; 상기 제 1 층 위에 놓이는 Ru 및 Co 중 하나 또는 둘 이상을 포함하는 제 2 층; 및 상기 라이닝된 트렌치 및/또는 비아를 충진하는 Cu를 포함하는 전도성 재료를 포함한다. 하나 또는 둘 이상의 실시예들에 따라서, 상기 제 1 층이 10 옹스트롬 미만의 두께를 가진다.
이러한 양태의 하나 또는 둘 이상의 실시예들에서, 상기 전도성 재료가 Mn을 더 포함한다. 특정 실시예들에서, 상기 전도성 재료가 2 중량% 미만의 Mn을 포함한다.
이러한 양태의 하나 또는 둘 이상의 실시예들은, 상기 제 2 층이 Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 하나 또는 둘 이상의 도펀트들을 더 포함하는 것을 제공한다.
본원 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이, 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1a 및 1b는 발명의 하나 또는 둘 이상의 실시예들에 따른 배리어 층 및 전도성 충진 재료의 증착 전과 후의 유전체 층을 도시한다.
도 2는 발명의 하나 또는 둘 이상의 실시예들에 따른 제 1 층, 제 2 층, 및 전도성 충진 재료를 가지는 유전체 층을 도시한다.
도 3은 여러 가지 배리어 필름들의 편향형(biased) 열 응력 테스트로부터의 결과들을 도시한다.
발명의 몇 가지 예시적인 실시예들을 설명하기에 앞서서, 발명이 이하의 설명에서 개진된 구성 또는 프로세스 단계들의 상세 내용으로 제한되지 않는다는 것이 이해될 수 있을 것이다. 발명은 다른 실시예들이 될 수 있고 그리고 다양한 방식들로 실행되거나 실시될 수 있다. 비록 이하의 설명에서 트렌치들에 대한 특정 언급이 이루어지지만, 여기에서 설명되는 프로세스들, 필름들, 및 디바이스들이 비아들, 실리콘-관통-비아들(TSVs), 듀얼 다마신(dual damascene) 구조물들 등과 같은 유사한 구조물들을 포함할 수 있다는 것을 이해할 수 있을 것이다.
발명의 하나의 양태가 기판, 유전체 층, 배리어 층, 및 전도성 재료를 포함하는 마이크로전자 디바이스에 관한 것이다. 도 1a는 기판(105) 및 유전체 층(110)을 포함하는 마이크로전자 디바이스(100)의 실시예를 도시한다. 유전체 층(110)이 기판(105) 상에 배치되고, 그리고 유전체 층(110)이 트렌치 하단부(120), 측벽들(115), 및 개구부(160)에 의해서 형성되는 트렌치(150)를 가진다.
하나 또는 둘 이상의 실시예들에서, 유전체 층(110)은 저-k 유전체 층이다. 특정 실시예들에서, 유전체 층은 SiOx를 포함한다. 추가적인 실시예들은, 상기 유전체 층이 다공성의 탄소-도핑된 SiOx를 포함하는 것을 제공한다. 일부 실시예들에서, 유전체 층이 3 미만의 k 값을 갖는 다공성의 탄소-도핑된 SiOx 층이다.
도 1b는, 측벽(115) 및/또는 트렌치 하단부(120)의 적어도 일부를 커버하는 배리어 층(130)의 증착 후의 동일한 마이크로전자 디바이스(100)를 도시한다. 도 1b에 도시된 바와 같이, 배리어 층(130)은 측벽(115) 및 트렌치 하단부(120) 전체를 커버할 수 있다. 배리어 층(130)은 TaN, 및 Ru, Cu, Co, Mn, Al, Mg, Cr, Nb, Ti 또는 V와 같은 하나 또는 둘 이상의 도펀트들을 포함할 수 있을 것이다. 하나 또는 둘 이상의 실시예들에 따라서, 배리어 층은 TaN 및 Mn을 포함한다. 다른 실시예들에서, 배리어 층은 TaN, 그리고 Ru, Cu 및 Co 중 하나 또는 둘 이상을 포함한다.
하나 또는 둘 이상의 실시예들에서, 배리어 층은, TaN 층의 중량을 기초로, 0.1 내지 10 % 도펀트를 포함한다. 특정 실시예들에서, 배리어 층은 0.2 내지 8 중량% 도펀트를 포함한다. 일부 실시예들에서, 배리어 층은 0.5 내지 5 중량% 도펀트, 이를 테면 약 0.5, 약 1, 약 1.5, 약 2, 약 2.5, 약 3, 약 3.5, 약 4, 약 4.5, 또는 약 5 중량% 도펀트를 포함한다.
하나 또는 둘 이상의 실시예들에 따라서, 여기에서 사용된 바와 같이, "배리어 층"은 TaN 및 하나 또는 둘 이상의 도펀트들을 증착하는 것에 의해서 형성된 구분된(discrete) 층을 지칭하고, 제 2 원소 또는 도펀트가 배리어 층의 부분으로만 확산하는 영역을 배제한다. 다시 말해서, 일부 실시예들은, 도펀트가, TaN 층의 표면 부분에만 존재하는 것이 아니라, TaN 층의 전체 두께를 통해서 전체적으로 존재하는 것을 제공한다.
전도성 충진 재료(140)는 배리어 층(130)으로 라이닝된 트렌치(150)의 적어도 일부를 충진한다. 하나 또는 둘 이상의 실시예들에 따라서, 전도성 충진 재료는 구리 또는 구리 합금을 포함한다. 추가적인 실시예들에서, 전도성 충진 재료는 또한 Mn을 포함한다. 다른 실시예들에서, 전도성 충진 재료는 Al을 더 포함한다.
비록 도 1b에서 전도성 충진 재료(140)는 배리어 층(130)과 직접적으로 접촉하는 것으로 도시되어 있으나, 접착 층들 또는 시딩(seeding) 층들과 같은 중간 층들이 전도성 충진 재료(140)와 배리어 층(130) 사이에 존재할 수 있을 것이다. 하나 또는 둘 이상의 실시예들에 따라서, 마이크로전자 디바이스는 Ru 및 Co 중 하나 또는 둘 이상을 포함하는 접착 층을 더 포함한다. Ru 및/또는 Co에 더하여, 접착 층은 Mn, Al, Mg, Cr, Nb, Ti 또는 V와 같은 하나 또는 둘 이상의 도펀트들을 포함할 수 있을 것이다. 일부 실시예들에서, 접착 층은 Ru 및 Mn을 포함한다. 다른 실시예들에서, 접착 층은 Co 및 Mn을 포함한다.
특정 실시예들에서, 시딩 층이 배리어 층의 상단부 상에 증착된다. 하나 또는 둘 이상의 실시예들에 따라서, 시딩 층은 Cu-Mn 합금과 같은 구리의 합금을 포함한다. 특정 실시예들에서, 시딩 층은 2 중량% 미만의 Mn을 포함한다. 일부 실시예들에서, 시딩 층은 약 1 중량%의 Mn을 포함한다. 1 중량% Mn을 포함하는 구리 합금들의 라인 저항이 순수 구리의 라인 저항과 같거나 그와 유사할 것으로 예상된다.
임의의 특별한 이론으로 구속되기를 바라지 않지만, 도펀트가 배리어 층(130)을 통해서 유전체 층(110)까지 선택적으로 확산될 수 있고 그리고 유전체 재료와 함께 전자이동에 대해서 저항하게 될 착물(complex)을 형성할 수 있는 것으로 생각된다. 그에 따라, 도펀트가 Mn이고 유전체 층이 SiOx를 포함하는 실시예들에서, Mn이 배리어 층을 통해서 확산할 수 있고 그리고 MnSiOx를 형성할 수 있다. MnSiOx의 배리어 층을 이렇게 자가-형성하는 것(self-forming)은 그 후 전도성 재료(140)로부터 유전체 층(110)으로의 구리 전자이동을 방지할 수 있다.
배리어 층이 25A와 같이 10A보다 클 때, 도핑되지 않은 TaN이 구리 배리어 층으로서 효과적이다. 그러나, 이러한 두께의 TaN 층들은 32 nm 미만의 두께와 같은 보다 작은 노드들에서 이용하기에는 종종 너무 두껍다.
이용되는 도펀트에 따라서, 도펀트의 침투 깊이가 10A 미만일 수 있을 것이다. 그에 따라, 약 10A의 TaN 층들의 경우에, 도펀트가 유전체 층으로 침투하여 도펀트-유전체 착물을 형성할 수 없을 것이다. 그러나, 구리 침투 깊이는 10A 초과가 될 수 있고, 그에 따라 배리어 층을 무력화되게 할 수 있을 것이다. 따라서, 본원 발명의 하나 또는 둘 이상의 실시예들은, TaN 층이 10A 미만인 것을 제공한다. 특정 실시예들에서, TaN 층은 4A 내지 10A 범위 이내이다.
구리 배리어가 존재하는 것에 더하여, 도핑된 TaN은 또한 산소가 유전체 층(110)으로부터 전도성 재료(140)로 확산하는 것에 대한 배리어가 될 수 있을 것이다. 유전체 층(110)으로부터 전도성 재료(140)로 산소가 확산하는 것은 산소가 전도성 재료 및/또는 시드 층 내의 성분들과 반응하는 것을 초래할 수 있다. 예를 들어, 만약 전도성 재료(140)가 Mn을 포함한다면, 산소가 배리어 층(130)과 전도성 재료(140)의 계면에서 Mn과 반응할 수 있고, 그에 따라 배리어 층/전도성 재료 계면에 대해서 Mn을 고정(pinning)할 수 있다. 결과적으로 Mn이 전도성 재료 전체를 통해서 분리(segregate)될 수 없다. 유사하게, 만약 Mn을 포함하는 시드 층이 존재한다면, 산소가 시드 층/배리어 층 계면에서 시드 층 내의 Mn과 반응할 수 있고 Mn을 계면에 고정할 수 있다.
TaN 층 내로 확산하는 산소가 도펀트와 반응할 것이고 그리고 전도성 재료(140) 내로 산소가 확산하는 것을 방지할 것으로 생각된다. 결과적으로, 산소가 시드 층 또는 전도성 재료와 반응하는데 있어서 이용될 수 없을 것이다.
도 2는 기판(205) 및 유전체 층(210)을 가지는 마이크로전자 디바이스(200)를 도시한다. 유전체 층(210)은, 제 1 층(230)에 의해서 적어도 부분적으로 커버되는 측벽들(215) 및 트렌치 하단부(220)를 가진다. 제 1 층(230)은 TaN, 그리고 Ru, Cu, Co, Mn, Al, Mg, Nb, Ti 또는 V와 같은 하나 또는 둘 이상의 도펀트들을 포함하는 배리어 층일 수 있을 것이다. 일부 실시예들에서, 제 1 층은 TaN 및 Mn을 포함한다. 다른 실시예들에서, 제 1 층은 TaN, 그리고 Ru, Cu 및 Co 중 하나 또는 둘 이상을 포함한다.
제 2 층(235)이 상기 제 1 층(230) 위에 배치되고, 그리고 Ru 및 Co 중 하나 또는 둘 이상을 포함할 수 있을 것이다. 제 2 층은 Mn, Al, Mg, Cr, Nb, Ti 또는 V와 같은 하나 또는 둘 이상의 도펀트들을 더 포함할 수 있을 것이다. 일부 실시예들에서, 제 2 층은 Ru 및 Mn을 포함한다. 다른 실시예들에서, 제 2 층이 Co 및 Mn을 포함한다.
전도성 충진 재료(240)가 측벽(215) 및 트렌치 하단부(220)에 의해서 형성된 트렌치의 나머지 내에 증착된다. 하나 또는 둘 이상의 실시예들에 따라서, 전도성 충진 재료가 구리 또는 구리 합금을 포함한다. 추가적인 실시예들에서, 전도성 충진 재료가 또한 Mn을 포함한다. 전도성 재료(240)가 제 2 층(235) 상에 직접적으로 증착될 수 있을 것이고, 또는 제 2 층(235)의 상단부 상의 시딩 층(미도시) 위에 증착될 수 있을 것이다. 하나 또는 둘 이상의 실시예들에 따라서, 시딩 층이 Cu-Mn 합금과 같은 구리의 합금을 포함한다. 특정 실시예들에서, 시딩 층이 2% 미만의 Mn을 포함한다. 일부 실시예들에서, 시딩 층이 약 1% Mn을 포함한다.
전술한 필름들에 더하여, 발명의 다른 양태가 마이크로전자 디바이스 내에 인터커넥션들을 형성하는 방법과 관련되고, 그러한 방법은 유전체 층이 상부에 배치된 기판을 제공하는 단계, 상기 유전체 층 상에 배리어 층을 증착하는 단계, 및 상기 배리어 층 상에 전도성 재료를 증착하는 단계를 포함한다. 이러한 양태의 하나 또는 둘 이상의 실시예들에 따라서, 배리어 층이 TaN, 그리고 Ru, Cu, Co, Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 도펀트를 포함한다. 일부 실시예들에서, 도펀트는 Mn이다. 다른 실시예들에서, 도펀트는 Ru, Cu 및 Co 중 하나 또는 둘 이상을 포함한다.
도핑된 TaN 층은 임의의 적절한 증착 프로세스에 의해서 형성될 수 있을 것이다. 예를 들어, TaN은 교번적인 층 증착(ALD) 프로세스 또는 플라즈마 강화 원자 층 증착(PEALD)에 의해서 증착될 수 있다. 그 후 도펀트가 화학기상증착(CVD), 물리기상증착(PVD) 또는 ALD에 의해서 증착될 수 있다. 이어서, 도펀트는 TaN 층으로 확산되어 통합된 TaN 도펀트 층을 형성한다. 도펀트는, 플라즈마 처리에 의한 것 및 가열에 의한 것을 포함하는 여러 가지 프로세스들을 통해서 TaN 층 내로 확산될 수 있을 것이다.
대안적으로, TaN 및 도펀트는 교번적인 층들로 증착될 수 있을 것이다. 이러한 실시예에 따라서, TaN 단일층과 같은 제 1 TaN 층이 유전체 필름 상에 증착된다. 이어서, 도펀트, 도펀트 합금 또는 다른 도펀트-함유 화합물의 층과 같은 제 1 도펀트 층이 제 1 TaN의 상단부 상에 증착된다. 이러한 제 1 도펀트 층은 또한 단일층일 수 있다. 이어서, 제 2 TaN 층이 제 1 도펀트 층의 상단부 상에 증착된다. 이러한 프로세스는 희망하는 두께의 도핑된 TaN 필름이 생성될 때까지 반복된다.
특히, 이러한 프로세스들의 특정 실시예들은, TaN 배리어 층의 상단부 상의 Mn과 같은 분리된 배리어 층 대신에, 전체 TaN 층을 통해서 전체적으로 산재된 도펀트를 가지는 필름을 초래할 것이다. 도펀트가 TaN 층 내에 이미 존재하기 때문에, MnSiOx와 같은 도펀트-배리어 층 착물을 형성하기 위해서 유전체 층에 도펀트를 확산시키는 것이 보다 용이할 수 있을 것이다. 대조적으로, 만약 도펀트가 TaN 층의 상단부 상의 분리된 배리어 층으로서만 존재한다면, 도펀트는, 유전체 층에 도달하기 전에, TaN 층의 전체 두께를 통해서 반드시 확산되어야 한다.
TaN 필름을 증착하기 위한 적합한 전구체들은 Ta-함유 전구체들 및 N-함유 전구체들을 포함한다. 예를 들어, Ta-함유 전구체는 펜타키스(디메틸아미노)탄탈룸(pentakis(dimethylamino)tantalum; PDMAT)일 수 있고 N-함유 전구체는 암모니아일 수 있을 것이다. 다른 적합한 전구체들이 당업자에게 공지되어 있다. TaN을 위한 유기-함유 전구체들 내의 유기 종들(organic species)이 유전체 층 내로 부분적으로 포함될 수 있을 것이고, 이는 TaN-유전체 층 계면에서의 접착을 증대시킬 수 있을 것이다.
도펀트 금속을 증착시키기 위해서, 적절한 금속-함유 전구체가 이용될 수 있을 것이다. 적합한 전구체들의 예들은 유기 리간드 또는 카르보닐 리간드들로 배위된(coordinated) 도펀트 금속들과 같은 희망 도펀트를 포함하는 금속 착물들을 포함한다. 적합한 도펀트 전구체는 ALD, CVD 및 PVD와 같은 적절한 프로세스에서 증착되기에 충분한 증기압을 가져야 한다. 사용되는 도펀트 전구체에 따라서, 상호-반응물(co-reactant)을 이용하여 도펀트를 증착할 수 있을 것이다. 예를 들어, 수소 및 암모니아와 같은 환원 가스들이 일부 도펀트들의 증착을 위한 상호-반응물들로서 이용될 수 있다.
발명의 특정 실시예들은, 도핑된 TaN 필름이 전도성 재료의 증착에 앞서서 플라즈마로 처리되는 것을 제공한다. 하나 또는 둘 이상의 실시예들에 따라서, 플라즈마가 He, Ar, NH3, H2 및 N2 중 하나 또는 둘 이상을 포함한다. 일부 실시예들에서, 플라즈마가 1:1 내지 1:10 범위의 Ar:H2의 몰비(molar ratio)를 가지는 혼합물과 같은 Ar 및 H2의 혼합물을 포함할 수 있을 것이다. 플라즈마 전력(power)이 약 400 내지 약 1000 Watts 범위 이내일 수 있을 것이다. 플라즈마 처리 시간이 5초 내지 60초로, 이를 테면 10초 내지 30초의 범위 내에서 변화될 수 있을 것이다. 일부 실시예들에서, 플라즈마 처리 중의 압력이 0.5 내지 50 Torr 범위, 이를 테면, 1 내지 10 Torr 범위 이내일 수 있을 것이다. 대안적으로, 일부 실시예들에서, 배리어 층은 도핑되지 않은 TaN이다. 이러한 실시예들에서, 배리어 층은, 여기에서 기술된 바와 같이 플라즈마 처리에 후속하여 노출되는, MnNx 또는 TaN을 포함할 수 있을 것이다.
전도성 재료가, 무전해 증착 프로세스, 전기도금(ECP) 프로세스, CVD 프로세스 또는 PVD 프로세스에 의한 것을 포함하는 다양한 방식들로 증착될 수 있을 것이다. 특정 실시예들에서, 제 1 시드 층이 배리어 층 상에 증착되고, 이어서 벌크(bulk) 전도성 층이 시드 층 상에 형성된다.
발명의 다른 양태는 마이크로전자 디바이스 내에 인터커넥션들을 형성하기 위한 방법에 관한 것이고, 상기 방법은 유전체 층이 상부에 배치된 기판을 제공하는 단계, 상기 유전체 층 상에 제 1 층을 증착하는 단계, 상기 제 1 층 상에 제 2 층을 증착하는 단계, 및 상기 제 2 층 상에 전도성 재료를 증착하는 단계를 포함한다. 이러한 양태의 실시예들에서, 제 1 층은 TaN, 그리고 Ru, Cu, Co, Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 하나 또는 둘 이상의 도펀트들을 포함할 수 있을 것이다. 일부 실시예들에서, 제 1 층은 TaN 및 Mn을 포함한다. 다른 실시예들에서, 제 1 층은 TaN, 그리고 Ru, Cu 및 Co 중 하나 또는 둘 이상을 포함한다. 도핑된 TaN 층은 전술한 것들과 같은 임의의 적절한 증착 프로세스에 의해서 형성될 수 있을 것이다.
하나 또는 둘 이상의 실시예들에 따라서, 제 2 층이 Ru 및 Co 중 하나 또는 둘 이상을 포함한다. 특정 실시예들에서, 제 2 층은 Mn, Al, Mg, Cr, Nb, Ti 및 V 로부터 선택된 하나 또는 둘 이상의 도펀트들을 더 포함한다. 일부 실시예들에서, 제 2 층은 Ru 및 Mn을 포함한다. 다른 실시예들에서, 제 2 층은 Co 및 Mn을 포함한다.
제 2 층은, CVD, PVD, ALD, 및 PEALD를 포함하는 임의의 적절한 증착 프로세스에 의해서 증착될 수 있을 것이다. 제 2 층이 코발트를 포함하는 실시예들에서, 제 2 층에 적합한 전구체들에는, 비제한적으로, 코발트 카르보닐 착물들, 코발트 아미디네이트들(amidinates) 화합물들, 코발토센(cobaltocene) 화합물들, 코발트 디에닐 착물들, 코발트 니트로실(nitrosyl) 착물들, 및 그 유도체들 및 이들의 조합들이 포함된다. 제 2 층이 루테늄을 포함하는 실시예들에서, 적합한 전구체에는, 비제한적으로, 펜타 디에닐 피롤릴 루테늄 전구체, 시클로펜타디에닐 피롤릴 루테늄 전구체, 알킬펜타디에닐 피롤릴 루테늄 전구체 또는 알킬시클로펜타디에닐 피롤릴 루테늄 전구체와 같은 피롤릴 루테늄 전구체들이 포함된다.
TaN 필름들의 도핑과 마찬가지로, 제 2 층은 Ru 및 Co 중 하나 또는 둘 이상을 포함하는 층을 증착하는 것, 그리고 이어서 Ru 및/또는 Co 층으로 도펀트를 확산시키는 것에 의해서 도핑될 수 있을 것이다. 대안적으로, 희망하는 두께의 필름이 형성될 때까지, Ru 및/또는 Co 그리고 도펀트가 교번적인 층들로 증착될 수 있을 것이다.
부가적으로, 전도성 재료가 전술한 것들을 포함하는 임의의 적절한 프로세스에서 증착될 수 있을 것이다. 도핑된 TaN이, 전도성 재료의 증착에 앞서서, He, Ar, NH3, H2 및 N2 중 하나 또는 둘 이상을 포함하는 플라즈마와 같은 플라즈마로 처리될 수 있을 것이다.
본원 발명의 여러 실시예들에 따른 필름들은 사실상 어떤(any) 기판 재료 위에라도 증착될 수 있다. 여기에서 사용된 바와 같이, "기판 표면"은, 제조 프로세스 중에 필름 프로세싱이 실시되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예를 들어, 프로세싱이 실시될 수 있는 기판 표면이, 적용예에 따라서, 실리콘, 실리콘 산화물, 변형된(strained) 실리콘, 실리콘 온 인슐레이터(SOI), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료들, 그리고 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들과 같은 임의의 다른 재료들을 포함한다. 기판 표면 상의 배리어 층들, 금속들 또는 금속 질화물들이 티타늄, 티타늄 질화물, 텅스텐 질화물, 탄탈룸 및 탄탈룸 질화물, 알루미늄, 구리, 또는 디바이스 제조에 유용한 임의의 다른 전도체 또는 전도성 또는 비-전도성 배리어 층을 포함한다. 기판들이 200 mm 또는 300 mm 직경 웨이퍼들뿐만 아니라 직사각형 또는 정사각형 판들(panes)과 같은 다양한 치수들을 가질 수 있을 것이다. 발명의 실시예들이 유용할 수 있는 기판들에는, 비제한적으로, 결정 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 변형된 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들과 같은 반도체 웨이퍼들, GaAs, GaN, InP, 등과 같은 III-V 재료들, 및 패터닝된 또는 비-패터닝된 웨이퍼들이 포함될 수 있을 것이다. 기판들이 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화(hydroxylate), 어닐링 및/또는 베이킹(bake)하기 위한 전처리(pretreatment) 프로세스에 노출될 수 있을 것이다.
발명의 실시예들이 도핑된 TaN 필름들을 증착 또는 형성하기 위한 방법을 제공함에 따라, 증기 증착 프로세스 동안에 가스들 및/또는 플라즈마들의 시퀀스에 기판을 노출시키도록 프로세싱 챔버가 구성된다. 프로세싱 챔버는, 반응물들 및 가스들의 각각을 위한 가스 유입구들과 유체 소통하는, 캐리어 가스, 퍼지 가스, 그리고 아르곤 및 질소와 같은 불활성 가스들의 임의의 공급원과 함께, 반응물들의 분리된 공급부들을 포함할 것이다. 각각의 유입구는, 반응물들의 각각이 기판으로 유동하여 여기에서 기술된 바와 같은 증착 프로세스를 실시할 수 있게 하는 중앙처리유닛(CPU)과 통신하는 질량 유동 제어기 또는 부피 유동 제어기와 같은 적절한 유동 제어기에 의해서 제어될 수 있을 것이다. 중앙처리유닛은, 여러 가지 챔버들 및 하위-프로세서들을 제어하기 위해서 산업 현장에서 이용될 수 있는 임의의 형태들의 컴퓨터 프로세서 중 하나일 수 있을 것이다. CPU가 메모리에 커플링될 수 있고 그리고 메모리는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플래시 메모리, 콤팩트 디스크, 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 근거리 또는 원거리 디지털 저장장치와 같은 용이하게 이용가능한 메모리 중 하나 또는 둘 이상일 수 있을 것이다. 지원 회로들이 CPU에 커플링되어 통상적인 방식으로 CPU를 지원할 수 있다. 이러한 회로들은 캐시, 전력 공급부들, 클록 회로들, 입/출력 회로망, 하위시스템들, 등을 포함한다.
상호-반응물들은 전형적으로 증기 또는 가스 형태이다. 반응물들이 캐리어 가스와 함께 전달될 수 있을 것이다. 캐리어 가스, 퍼지 가스, 증착 가스, 또는 다른 프로세스 가스가 질소, 수소, 아르곤, 네온, 헬륨, 또는 이들의 조합들을 포함할 수 있을 것이다. 질소 플라즈마 또는 불활성 가스 플라즈마와 같이, 여기에서 기술된 여러 가지 플라즈마들이 플라즈마 상호-반응물 가스로부터 점화될(ignited) 수 있고 및/또는 플라즈마 상호-반응물 가스를 포함할 수 있을 것이다.
하나 또는 둘 이상의 실시예들에서, 프로세스를 위한 여러 가지 가스들이 유입구 내로, 가스 채널을 통해서, 여러 가지 홀들 또는 배출구들로부터, 그리고 중앙 채널 내로 펄스화될(pulsed) 수 있을 것이다. 하나 또는 둘 이상의 실시예들에서, 증착 가스들이 샤워헤드로 그리고 샤워헤드를 통해서 순차적으로 펄스화될 수 있을 것이다. 대안적으로, 전술한 바와 같이, 가스들이 가스 공급 노즐 또는 헤드를 통해서 동시적으로 유동할 수 있고 그리고 기판이 가스들에 순차적으로 노출되도록 기판 및/또는 가스 공급 헤드가 이동될 수 있다.
다른 실시예에서, 전구체들 및 플라즈마의 순차적인 펄스들을 제공하는 플라즈마 강화 원자 층 증착(PEALD) 프로세스 중에 도핑된 TaN 필름이 형성될 수 있을 것이다. 특정 실시예들에서, 상호-반응물이 플라즈마를 포함할 수 있을 것이다. 플라즈마의 이용을 포함하는 다른 실시예들에서, 플라즈마 단계 중에, 시약들(reagents)이 프로세스 동안에 일반적으로 이온화되고, 비록 이온들 또는 다른 에너지를 가지는(energetic) 또는 발광 종들이 증착 필름과 직접적으로 접촉하지 않도록 이러한 이온화가 증착 챔버의 상류에서만 이루어질 수 있을 것이나, 이러한 구성이 원격 플라즈마라고 종종 지칭된다. 그에 따라, 이러한 타입의 PEALD 프로세스에서, 플라즈마가, 원격 플라즈마 발생기 시스템에 의한 것과 같이, 프로세싱 챔버로부터 외부에서 생성된다. PEALD 프로세스들 동안에, 플라즈마가 마이크로파(MW) 주파수 발생기 또는 무선 주파수(RF) 발생기로부터 생성될 수 있을 것이다. 비록 플라즈마들이 여기에서 개시된 증착 프로세스들 중에 이용될 수 있지만, 플라즈마가 필수적인 것이 아님을 주목하여야 할 것이다. 사실상, 다른 실시예들은 플라즈마가 없는 매우 온화한(mild) 조건들 하의 증착 프로세스들과 관련된다.
발명의 다른 양태는 전술한 실시예들 중 임의의 실시예에 따른 프로세스를 실시하기 위해서 기판 상에 필름을 증착하기 위한 장치에 관한 것이다. 일 실시예에서, 상기 장치는 기판 상에 필름을 증착하기 위한 증착 챔버를 포함한다. 상기 챔버는 기판을 지지하기 위한 프로세스 지역을 포함한다. 상기 장치는, 펜타키스(디메틸아미노)탄탈룸(PDMAT)과 같은, Ta 전구체의 공급부와 유체 소통하는 전구체 유입구를 포함한다. 상기 장치는 또한 암모니아와 같은 질소-함유 전구체의 공급부와 유체 소통하는 반응물 가스 유입구를 포함한다. 상기 장치는 또한 도펀트-함유 금속 착물과 같은 도펀트 전구체의 공급부와 유체 소통하는 반응물 가스 유입구를 포함한다. 상기 장치는 퍼지 가스의 공급부와 유체 소통하는 퍼지 가스 유입구를 더 포함한다. 상기 장치는 상기 증착 챔버로부터 가스를 제거하기 위한 진공 포트를 더 포함할 수 있다. 상기 장치는 증착 챔버로 불활성 가스들과 같은 하나 또는 둘 이상의 보조 가스들을 공급하기 위한 보조 가스 유입구를 더 포함할 수 있다. 증착은 복사 열 및/또는 저항 열에 의해서 기판을 가열하기 위한 수단을 더 포함할 수 있다.
일부 실시예들에서, 필름들을 증착 또는 형성하기 위해서 여기에서 설명된 방법들 중에 이용될 수 있는 플라즈마 시스템 및 프로세싱 챔버들 또는 시스템들이, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 모두 입수할 수 있는 PRODUCER®, CENTURA®, 또는 ENDURA® 시스템들에서 실시될 수 있다. ALD 프로세싱 챔버에 관한 상세한 설명을, 본원과 양수인이 동일한 미국 특허 번호 제 6,878,206 호, 제 6,916,398 호, 및 제 7,780,785 호에서 확인할 수 있을 것이다.
예들
편향형 열 응력(BTS) 테스트를 여러 배리어 필름들에 대해서 실시하였다. 각각의 필름의 조성들이 이하의 표 1에 제시되어 있다.
배리어 필름 조성들
필름 번호 조성 증착 프로세스 두께
1 MnNx 열적 ALD 10 옹스트롬
2 MnNx 열적 ALD 15 옹스트롬
3 MnNx 플라즈마-후처리되는 열적 ALD 10 옹스트롬
4 Mn-도핑된 TaN 열적 ALD 10 옹스트롬
5 Mn-도핑된 TaN 플라즈마-후처리되는 열적 ALD 10 옹스트롬
6 TaN 플라즈마-후처리되는 열적 ALD 10 옹스트롬
7 TaN 플라즈마-후처리되는 열적 ALD 15 옹스트롬
8 Ta 열적 ALD 30 옹스트롬
9 TaN PEALD 20 옹스트롬
플라즈마 후처리가 이루어지는 필름들이 10 내지 30 초 동안 1:1 내지 1:10의 Ar:H2 혼합물을 포함하는 플라즈마에 노출되었다. 플라즈마 전력은 400 내지 1000 W 였고 압력은 1 내지 10 Torr 였다.
Mn-도핑된 TaN 필름들은 약 10A의 TaN 및 약 1A의 Mn을 포함하였다. TaN 필름들은 1 내지 5초 동안 Mn 전구체 도핑에 노출되었고 그리고 5 내지 30초 동안NH3 소크(soak)를 선택적으로 가졌다.
BTS 테스트의 결과들이 도 3에 제시된다. 필름들 8 및 9가 0 V의 eWF 시프트(shift)를 가지는 기준선이었다. 도 3에서 보다 낮은 eWF 시프트는, 배리어 필름이 보다 양호한 배리어 성질들을 가진다는 것을 나타낸다. 도 3에서 확인할 수 있는 바와 같이, 필름 4(10A Mn-도핑된 TaN에 상응)는 필름 1(10A MnNx)에 대비하여 더 우수한 배리어 성질들을 나타냈고 그리고 보다 두꺼운 두께의 MnNx 필름(필름 2)에 필적하는 배리어 성질들을 가졌다. 플라즈마 후처리는 모든 필름들의 배리어 성질들을 향상시켰다.
본원 명세서 전체를 통해서 "일 실시예", "특정 실시예들", "하나 또는 둘 이상의 실시예들", 또는 "실시예"라는 언급은, 실시예와 관련하여 설명된 특별한 특징, 구조, 재료, 또는 특성이 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 그에 따라, 본원 명세서 전체를 통해서 여러 위치들에서의 "하나 또는 둘 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서", 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 발명의 동일한 실시예를 언급하는 것은 아니다. 또한, 특별한 특징들, 구조들, 재료들, 또는 특성들이 하나 또는 둘 이상의 실시예들에서 임의의 적합한 방식으로 조합될 수 있을 것이다.
특별한 실시예들을 참조하여 발명을 설명하였지만, 이러한 실시예들은 단지 본원 발명의 원리들 및 적용예들의 예증이 되는 것임을 이해하여야 할 것이다. 당업자는, 발명의 사상 및 범위로부터 벗어나지 않고도 본원 발명의 방법 및 장치에 대해서 여러 가지 수정들 및 변경들이 이루어 질 수 있다는 것을 명확하게 이해할 것이다. 그에 따라, 본원 발명이, 첨부된 청구항들 및 그 균등물들의 범위 내에 있는 수정들 및 변경들을 포함하는 것으로 의도된다.

Claims (15)

  1. 마이크로전자 디바이스 내에 인터커넥션(interconnection)들을 형성하기 위한 방법으로서:
    상부에 배치된 유전체 층을 포함하는 기판을 제공하는 단계로서, 상기 유전체 층이, 개구부, 측벽 및 하단부를 구비하는 하나 또는 둘 이상의 트렌치들 또는 비아들 중 적어도 하나를 가지는, 기판 제공 단계;
    상기 기판 제공 단계 이후에, 라이닝된 트렌치 또는 비아 중 적어도 하나를 제공하기 위해서, TaN, 그리고 TaN 층의 중량을 기초로, Ru, Cu, Co, Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 0.1 내지 10 %의 하나 또는 둘 이상의 도펀트들을 포함하는 배리어 층을 상기 트렌치 또는 비아 중 적어도 하나의 측벽 또는 하단부 중 적어도 하나의 적어도 일부 상에 증착하는 단계 ― 상기 배리어 층을 증착하는 단계는 TaN 층 및 도펀트 층을 포함하는 교번적인 층들을 증착하는 단계를 포함하고, 상기 TaN 층은 10 Å 미만임 ―; 및
    Cu를 포함하는 전도성 재료를 상기 라이닝된 트렌치 또는 비아 중 적어도 하나 내로 증착하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 도펀트가 Mn을 포함하는, 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 도펀트가 Ru, Cu 및 Co 중 하나 또는 둘 이상을 포함하는, 방법.
  4. 삭제
  5. 삭제
  6. 제 1 항 또는 제 2 항에 있어서,
    Cu를 포함하는 상기 전도성 재료를 증착하는 단계에 앞서서, 상기 배리어 층을 플라즈마 처리에 노출시키는 단계를 더 포함하는, 방법.
  7. 제 6 항에 있어서,
    상기 플라즈마가 He, Ar, NH3, H2 및 N2 중 하나 또는 둘 이상을 포함하는, 방법.
  8. 마이크로전자 디바이스 내에서 인터커넥션들을 형성하기 위한 방법으로서:
    상부에 배치된 유전체 층을 포함하는 기판을 제공하는 단계로서, 상기 유전체 층이, 개구부, 측벽 및 하단부를 구비하는 하나 또는 둘 이상의 트렌치들 또는 비아들 중 적어도 하나를 가지는, 기판 제공 단계;
    라이닝된 트렌치 또는 비아 중 적어도 하나를 제공하기 위해서 TaN, 그리고 TaN 층의 중량을 기초로, Ru, Cu, Co, Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 0.1 내지 10 %의 하나 또는 둘 이상의 제 1 도펀트들을 포함하는 제 1 층을 상기 트렌치 또는 비아 중 적어도 하나의 측벽 또는 하단부 중 적어도 하나의 적어도 일부 상에 증착하는 단계 ― 상기 제 1 층을 증착하는 단계는 TaN 층 및 제 1 도펀트 층을 포함하는 교번적인 층들을 증착하는 단계를 포함하고, 상기 TaN 층은 10 Å 미만임 ―;
    상기 제 1 층 상에 Ru 및 Co 중 하나 또는 둘 이상을 포함하는 제 2 층을 증착하는 단계; 및
    Cu를 포함하는 전도성 재료를 상기 라이닝된 트렌치 또는 비아 중 적어도 하나 내로 증착하는 단계를 포함하는, 방법.
  9. 제 8 항에 있어서,
    상기 제 1 도펀트가 Mn을 포함하는, 방법.
  10. 제 8 항 또는 제 9 항에 있어서,
    상기 제 2 층이 Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 하나 또는 둘 이상의 제 2 도펀트들을 더 포함하는, 방법.
  11. 제 8 항 또는 제 9 항에 있어서,
    상기 전도성 재료를 증착하는 단계에 앞서서, 상기 제 1 층을 플라즈마 처리에 노출시키는 단계를 더 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 플라즈마가 He, Ar, NH3, H2 및 N2 중 하나 또는 둘 이상을 포함하는, 방법.
  13. 마이크로전자 디바이스로서:
    상부에 배치된 유전체 층을 포함하는 기판으로서, 상기 유전체 층이 개구부, 측벽 및 하단부를 구비하는 하나 또는 둘 이상의 트렌치들 또는 비아들 중 적어도 하나를 가지는, 기판;
    상기 트렌치 또는 비아 중 적어도 하나의 측벽 또는 하단부 중 적어도 하나의 적어도 일부를 라이닝하는 제 1 층으로서, 상기 제 1 층이 TaN, 그리고 TaN 층의 중량을 기초로, Ru, Cu, Co, Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 0.1 내지 10 %의 하나 또는 둘 이상의 도펀트들을 포함하는, 제 1 층 ― 상기 제 1 층은 TaN 층 및 도펀트 층을 포함하는 교번적인 층들을 포함하고, 상기 TaN 층은 10 Å 미만임 ―;
    상기 제 1 층 위에 놓이는 Ru 및 Co 중 하나 또는 둘 이상을 포함하는 제 2 층; 및
    상기 라이닝된 트렌치 또는 비아 중 적어도 하나를 충진하는 Cu를 포함하는 전도성 재료를 포함하는, 마이크로전자 디바이스.
  14. 제 13 항에 있어서,
    상기 제 2 층이 Mn, Al, Mg, Cr, Nb, Ti 및 V로부터 선택된 하나 또는 둘 이상의 도펀트들을 더 포함하는, 마이크로전자 디바이스.
  15. 삭제
KR1020147018262A 2011-12-01 2012-11-30 구리 배리어 적용들을 위한 도핑된 탄탈룸 질화물 KR102036245B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161565641P 2011-12-01 2011-12-01
US61/565,641 2011-12-01
US13/689,871 US10784157B2 (en) 2011-12-01 2012-11-30 Doped tantalum nitride for copper barrier applications
PCT/US2012/067212 WO2013082370A1 (en) 2011-12-01 2012-11-30 Doped tantalum nitride for copper barrier applications
US13/689,871 2012-11-30

Publications (2)

Publication Number Publication Date
KR20140099311A KR20140099311A (ko) 2014-08-11
KR102036245B1 true KR102036245B1 (ko) 2019-10-24

Family

ID=48523404

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147018262A KR102036245B1 (ko) 2011-12-01 2012-11-30 구리 배리어 적용들을 위한 도핑된 탄탈룸 질화물

Country Status (5)

Country Link
US (1) US10784157B2 (ko)
KR (1) KR102036245B1 (ko)
CN (1) CN103959443B (ko)
TW (2) TWI633624B (ko)
WO (1) WO2013082370A1 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8962478B1 (en) * 2013-11-13 2015-02-24 Globalfoundries Inc. Method to use self-repair Cu barrier to solve barrier degradation due to Ru CMP
WO2015117150A1 (en) * 2014-02-03 2015-08-06 Tokyo Electron Limited INTEGRATION OF ALD BARRIER LAYER AND CVD Ru LINER FOR VOID-FREE Cu FILLING
CN105870049A (zh) * 2015-01-19 2016-08-17 中芯国际集成电路制造(上海)有限公司 铜互连结构的制作方法、半导体器件及电子装置
US9805976B2 (en) * 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9947621B2 (en) 2016-08-05 2018-04-17 International Business Machines Corporation Structure and method to reduce copper loss during metal cap formation
US10229851B2 (en) 2016-08-30 2019-03-12 International Business Machines Corporation Self-forming barrier for use in air gap formation
US9786760B1 (en) * 2016-09-29 2017-10-10 International Business Machines Corporation Air gap and air spacer pinch off
CN108666261A (zh) * 2017-03-29 2018-10-16 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109216261B (zh) * 2017-07-03 2021-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10763168B2 (en) * 2017-11-17 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped via plug and method for forming the same
EP3762959B1 (en) * 2018-03-08 2024-04-10 Atomera Incorporated Semiconductor device including enhanced contact structures having a superlattice and related methods
KR102554839B1 (ko) * 2018-08-11 2023-07-11 어플라이드 머티어리얼스, 인코포레이티드 그래핀 확산 장벽
CN110854102A (zh) * 2018-08-20 2020-02-28 中芯国际集成电路制造(上海)有限公司 金属互连线及其形成方法
CN112117259A (zh) * 2019-06-20 2020-12-22 中芯国际集成电路制造(上海)有限公司 半导体器件及形成方法
US11587873B2 (en) 2020-05-06 2023-02-21 Applied Materials, Inc. Binary metal liner layers
US11270911B2 (en) * 2020-05-06 2022-03-08 Applied Materials Inc. Doping of metal barrier layers
US11676898B2 (en) 2020-06-11 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion barrier for semiconductor device and method
US11410881B2 (en) 2020-06-28 2022-08-09 Applied Materials, Inc. Impurity removal in doped ALD tantalum nitride

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080179747A1 (en) * 2007-01-31 2008-07-31 Fujitsu Limited Method of manufacturing semiconductor apparatus, and semiconductor apparatus
US20100200991A1 (en) * 2007-03-15 2010-08-12 Rohan Akolkar Dopant Enhanced Interconnect

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976928A (en) * 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US7101790B2 (en) * 2003-03-28 2006-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a robust copper interconnect by dilute metal doping
US7199048B2 (en) * 2003-07-24 2007-04-03 Novellus Systems, Inc. Method for preventing metalorganic precursor penetration into porous dielectrics
US6958291B2 (en) * 2003-09-04 2005-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect with composite barrier layers and method for fabricating the same
US20050070109A1 (en) * 2003-09-30 2005-03-31 Feller A. Daniel Novel slurry for chemical mechanical polishing of metals
DE102004003863B4 (de) * 2004-01-26 2009-01-29 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung eingebetteter Metallleitungen mit einer erhöhten Widerstandsfähigkeit gegen durch Belastung hervorgerufenen Materialtransport
US7157795B1 (en) 2004-09-07 2007-01-02 Advanced Micro Devices, Inc. Composite tantalum nitride/tantalum copper capping layer
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
DE102005023122A1 (de) 2005-05-19 2006-11-23 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Schichtstapel und Verfahren
TWM279574U (en) * 2005-07-08 2005-11-01 Heng Kuo Co Ltd Fine-tuning structure for hydraulic disc brake
JP4955008B2 (ja) * 2006-10-03 2012-06-20 Jx日鉱日石金属株式会社 Cu−Mn合金スパッタリングターゲット及び半導体配線
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
JP5141683B2 (ja) * 2007-03-27 2013-02-13 富士通セミコンダクター株式会社 半導体装置の製造方法
US7642189B2 (en) * 2007-12-18 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Synergy effect of alloying materials in interconnect structures
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8013445B2 (en) * 2008-02-29 2011-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance high reliability contact via and metal line structure for semiconductor device
US7808106B1 (en) * 2008-05-09 2010-10-05 Eric Eisenbraun Nano-laminate difussion barrier for direct electrochemical deposition copper
US8679970B2 (en) 2008-05-21 2014-03-25 International Business Machines Corporation Structure and process for conductive contact integration
US8945675B2 (en) * 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US8586397B2 (en) * 2011-09-30 2013-11-19 Sunpower Corporation Method for forming diffusion regions in a silicon substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080179747A1 (en) * 2007-01-31 2008-07-31 Fujitsu Limited Method of manufacturing semiconductor apparatus, and semiconductor apparatus
US20100200991A1 (en) * 2007-03-15 2010-08-12 Rohan Akolkar Dopant Enhanced Interconnect

Also Published As

Publication number Publication date
TWI633624B (zh) 2018-08-21
TW201330175A (zh) 2013-07-16
KR20140099311A (ko) 2014-08-11
TW201820539A (zh) 2018-06-01
CN103959443B (zh) 2018-02-09
CN103959443A (zh) 2014-07-30
US10784157B2 (en) 2020-09-22
US20130140698A1 (en) 2013-06-06
TWI645511B (zh) 2018-12-21
WO2013082370A1 (en) 2013-06-06

Similar Documents

Publication Publication Date Title
KR102036245B1 (ko) 구리 배리어 적용들을 위한 도핑된 탄탈룸 질화물
US11587829B2 (en) Doping control of metal nitride films
KR102189781B1 (ko) 망간 및 망간 니트라이드들의 증착 방법들
US7521379B2 (en) Deposition and densification process for titanium nitride barrier layers
US6596643B2 (en) CVD TiSiN barrier for copper integration
US9076661B2 (en) Methods for manganese nitride integration
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
US10283352B2 (en) Precursors of manganese and manganese-based compounds for copper diffusion barrier layers and methods of use
US10847463B2 (en) Seed layers for copper interconnects
TWI609095B (zh) 用於氮化錳整合之方法
US7041582B2 (en) Method of manufacturing semiconductor device
WO2023033901A1 (en) Method of forming a metal liner for interconnect structures

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)