CN102142357A - 等离子处理装置 - Google Patents

等离子处理装置 Download PDF

Info

Publication number
CN102142357A
CN102142357A CN2010105794019A CN201010579401A CN102142357A CN 102142357 A CN102142357 A CN 102142357A CN 2010105794019 A CN2010105794019 A CN 2010105794019A CN 201010579401 A CN201010579401 A CN 201010579401A CN 102142357 A CN102142357 A CN 102142357A
Authority
CN
China
Prior art keywords
mentioned
annular component
upper electrode
gas
lower electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010105794019A
Other languages
English (en)
Other versions
CN102142357B (zh
Inventor
饭塚八城
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102142357A publication Critical patent/CN102142357A/zh
Application granted granted Critical
Publication of CN102142357B publication Critical patent/CN102142357B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本发明提供一种等离子处理装置。与以往相比能提高处理的面内均匀性,并能削减处理腔室内无用的空间而谋求装置的小型化,而且能容易地改变上部电极和下部电极的间隔。该等离子处理装置包括:上部电极,其与下部电极相对地设置于处理腔室内,自设置于相对面的多个气体喷出孔供给气体,且能够上下运动;盖体,其设置于上部电极的上侧并气密地闭塞处理腔室的上部开口;多个排气孔,其形成于相对面;环状构件,其沿上部电极的周缘部设置,能与上部电极连动地上下移动,在其下降位置形成由环状构件、下部电极和上部电极围成的处理空间;多个环状构件气体喷出孔,其开口于环状构件的内壁部分;多个环状构件排气孔,其开口于环状构件的内壁部分。

Description

等离子处理装置
技术领域
本发明涉及一种等离子处理装置。
背景技术
以往在半导体装置的制造领域等中,为了以喷淋状向半导体晶圆等基板供给气体而使用簇射头。即,例如在对半导体晶圆等基板实施等离子蚀刻处理的等离子处理装置中,在处理腔室内设置有用于载置基板的载置台,并与此载置台相对地设置有簇射头。在该簇射头的与载置台相对的相对面上设置有多个气体喷出孔,自这些气体喷出孔以喷淋状朝向基板供给气体。
在上述等离子处理装置中,为了使处理腔室内的气体流动均匀化,公知有一种自载置台的周围向下方排气的构造。为了提高等离子处理的面内均匀性,还公知有一种等离子处理装置,该等离子处理装置除上述簇射头之外还在载置台的基板的周围部分设置有向基板供给气体的气体喷出部(例如,参照专利文献1)。还公知有一种自簇射头的周围向处理腔室的上方排气的等离子处理装置(例如,参照专利文献2)。另外,还公知有一种能够使作为上部电极的簇射头上下移动而改变其与作为下部电极的载置台的间隔的等离子处理装置(例如,参照专利文献3)。
专利文献1:日本特开2006-344701公报
专利文献2:日本专利第2662365号公报
专利文献3:日本特开2005-93843号公报
在上述的以往技术中,采用如下结构:或者自载置台(基板)的周围向处理腔室的下方排气,或者自簇射头的周围向处理腔室的上方排气。因此,存在的问题在于,形成有自簇射头供给来的气体从基板的中央部朝向周边部流动的气流,从而在基板的中央部和周边部的处理的状态容易产生差异,处理的面内均匀性下降。并且,因为需要在载置台(基板)的周围和簇射头的周围设置排气流路,所以处理腔室内部的容积比其收容的基板大得多,无用的空间变多,很难谋求整个装置的小型化。
并且,还存在如下问题:在簇射头兼作上部电极、载置台兼作下部电极的电容耦合型的等离子处理装置中,期望能够改变该上部电极(簇射头)和下部电极(载置台)的间隔。但是,由于处理腔室内处于减压气氛,因此,为了克服处理腔室内外的压力差而使上部电极(簇射头)或下部电极(载置台)上下移动的话,驱动源需要很大的驱动力,导致驱动所需要能量也变大。
发明内容
本发明为解决上述以往的问题,提供一种与以往相比能够谋求提高处理的面内均匀性并能够削减处理腔室内的无用空间而谋求装置的小型化、而且能够容易地改变上部电极和下部电极的间隔的等离子处理装置。
本发明的等离子处理装置包括:
下部电极,其设置于处理腔室内,兼作用于载置基板的载置台;
上部电极,其与上述下部电极相对地设置于上述处理腔室内,且具有自多个气体喷出孔以喷淋状朝向上述基板供给气体的作为簇射头的功能,该多个气体喷出孔设置于该上部电极的与上述下部电极相对的相对面上,并且该上部电极能够上下移动,从而能够改变其与上述下部电极的间隔;
盖体,其设置于上述上部电极的上侧,用于气密地闭塞上述处理腔室的上部开口;
多个排气孔,其形成于上述相对面;
环状构件,其以沿上述上部电极的周缘部向下方突出的方式设置,能够与上述上部电极连动地上下移动,在其下降位置,形成由该环状构件、上述下部电极和上述上部电极围成的处理空间;
多个环状构件气体喷出孔,其开口于上述环状构件的内壁部分,用于向上述处理空间内供给气体;
多个环状构件排气孔,其开口于上述环状构件的内壁部分,用于对上述处理空间内进行排气。
本发明能够提供一种与以往相比能够谋求提高处理的面内均匀性并能够削减处理腔室内的无用空间而谋求装置的小型化、并且能够容易地改变上部电极和下部电极的间隔的等离子处理装置。
附图说明
图1是表示本发明的一个实施方式的等离子处理装置的结构的纵剖视图。
图2是将图1的等离子处理装置的主要部分结构扩大地表示的纵剖视图。
图3是表示使图1的等离子处理装置的簇射头上升后的状态的纵剖视图。
图4是表示图1的等离子处理装置的薄片电缆(sheetcable)的结构的俯视图。
图5是表示图1的等离子处理装置的等效电路的图。
具体实施方式
以下,参照附图详细说明本发明的实施方式。
图1是示意地表示本发明的等离子处理装置的一个实施方式的等离子蚀刻装置200的剖面结构的图,图2是示意地表示设置于图1的等离子蚀刻装置200中的簇射头100的结构的剖视图。该等离子蚀刻装置200构成为电容耦合型平行平板等离子蚀刻装置,其电极板上下平行地相对,并且连接有等离子形成用电源(未图示)。
如图2所示,簇射头100由层叠体10构成,该层叠体10是由下侧构件1和配置于该下侧构件1的上侧的上侧构件2这两者层叠而成的。该下侧构件1及上侧构件2例如由在表面实施了阳极氧化处理后的铝等构成。如图1所示,该簇射头100以与用于载置半导体晶圆的载置台202相对的方式配置在等离子蚀刻装置200的处理腔室201中。即,以图2所示的下侧构件1侧形成与图1所示的载置台202相对的相对面14的方式设置。
在上述层叠体10中,用于形成与载置台202相对的相对面14的下侧构件1中形成有许多个气体喷出孔11,在下侧构件1和上侧构件2之间形成有与这些气体喷出孔11连通的气体流路12。如图2中箭头所示,这些气体喷出孔11用于以喷淋状朝向基板(图2中下侧)供给气体。在层叠体10的周缘部还设置有用于向气体流路12内导入气体的气体导入部(未图示)。
并且,在该层叠体10中形成有许多个贯通上述层叠体10、即贯通下侧构件1和上侧构件2的排气孔13。如图2中虚线的箭头所示,这些排气孔13构成排气机构,该排气机构以自基板侧(图2中下侧)朝向与基板相反的一侧(图2中上侧)形成气流的方式进行排气。
这些排气孔13的直径设定为例如1.2mm左右,且该排气孔13大致均等地设置于簇射头100的除周缘部(用于固定后述环状构件220的固定部)之外的整个区域。例如在用于处理直径为12英寸(300mm)的半导体晶圆的簇射头100的情况下,排气孔13的数量为2000~2500个左右。排气孔13的形状并不限定于圆形,例如也可以为椭圆形等,这些排气孔13也起到排出反应生成物的作用。并且,本实施方式中,簇射头100的外形与作为被处理基板的半导体晶圆的外形相配合地构成为圆板状。
图1所示的等离子蚀刻处理装置200的处理腔室(处理容器)201例如由表面被阳极氧化处理后的铝等形成为圆筒形状,该处理腔室201接地。在处理腔室201内设有载置台202,该载置台202用于载置作为被处理基板的半导体晶圆、且构成下部电极。在此载置台202上连接有未图示的高频电源等的高频电力施加装置。
在载置台202上侧设置有用于在其上静电吸附半导体晶圆的静电吸盘203。静电吸盘203是在绝缘材料之间配置电极而构成的,通过对该电极施加直流电压,利用库仑力来静电吸附半导体晶圆。并且,在载置台202中形成有供温度调节介质循环的流路(未图示),从而能够将吸附于静电卡盘203上的半导体晶圆的温度调整至规定的温度。如图3所示,在处理腔室201的侧壁部还形成有用于将半导体晶圆搬入到处理腔室201内或者自处理腔室201内搬出半导体晶圆的开口215。
在载置台202的上方,以与载置台202隔开间隔地相对的方式配置有图2所示的簇射头100。并且,形成簇射头100为上部电极、载置台202为下部电极的一对相对电极。自未图示的气体供给源向簇射头100的气体流路12内供给规定的气体(蚀刻气体)。
在簇射头100的上部还设置有盖体205,该盖体205用于气密地闭塞处理腔室201的上部开口,并构成处理腔室201的顶部,在该盖体205的中央部配设有筒状的排气管210。涡轮分子泵等真空泵(未图示)借助开闭控制阀门及开闭机构等与该排气管210连接。
在簇射头100的下面,设有以沿该簇射头100的周缘部向下方突出的方式形成为圆环状(圆筒状)的环状构件220。该环状构件220例如由被绝缘性的覆膜(阳极氧化覆膜等)覆盖的铝等构成,其以与作为上部电极的簇射头100电导通的状态被固定。
环状构件220连接于升降机构221,其能够与簇射头100一同上下移动。该环状构件220的内径设定得稍大于载置台202的外径,环状构件220能够下降至其下侧部分处于包围载置台202周围的状态的位置。图1是表示使环状构件220及簇射头100处于下降位置的状态。在该下降位置,在载置台202的上方形成有由载置台(下部电极)202、簇射头(上部电极)100和环状构件220围成的处理空间222。由此,通过利用能够上下运动的环状构件220来分隔出处理空间222,使处理空间222只形成于载置台202的上方,能够抑制自载置台202的周缘部朝向外侧地沿水平方向扩展的无用空间的形成。
另一方面,图3表示使环状构件220及簇射头100处于上升位置的状态。在该上升位置,用于向处理腔室201内搬入半导体晶圆或者自处理腔室201搬出半导体晶圆的开口215处于打开的状态,在该状态下进行向处理腔室201中搬入半导体晶圆或者自处理腔室201搬出半导体晶圆的操作。如图1所示,当使环状构件220及簇射头100处于下降位置时,该开口215被环状构件220覆盖而成为闭塞的状态。
作为升降机构221的驱动源,本实施方式中采用电动缸260。并且,使用沿处理腔室201的圆周方向等间隔地设置多个升降机构221的多点驱动方式。如此,通过使用采用电动缸260的多点驱动方式,例如与使用气压驱动的驱动机构的情况相比,能够更精确地控制环状构件220及簇射头100的位置。并且,即使做成多点驱动方式,也能够在电学上容易地对其进行协调控制。
如图1所示,电动缸260的驱动轴连接于升降轴261,该升降轴261以贯穿圆筒状的固定轴262内的方式配设,该固定轴262以自处理腔室201的底部朝向处理腔室201内的上部延伸的方式竖立设置。并且,在气密密封部263中,例如利用双重O型密封圈等实现升降轴261的驱动部分的气密密封。
在本实施方式中,簇射头100配置在用于将处理腔室201的上部开口气密地闭塞的盖体205的内侧的减压气氛内,减压气氛和大气气氛之间的压力差不会施加于簇射头100自身,压力差只施加于升降轴261部分。因此,能够用较小的驱动力容易地使簇射头100上下移动,从而能够谋求节能化。并且,因为能够降低驱动机构的机械强度,所以能够谋求降低装置成本。
在环状构件220中设置有开口于其内周面的多个环状构件排气孔230、及多个环状构件喷出孔240。在本实施方式中,环状构件排气孔230沿上下方向在直线上3个一组地设置,且沿环状构件220的圆周方向以规定的间隔均匀地形成有多组该环状构件排气孔230。并且,环状构件喷出孔240沿上下方向在直线上4个一组地设置,且沿环状构件侧220的圆周方向以规定的间隔均匀地形成有多组该环状构件喷出孔240。并且,环状构件排气孔230和环状构件喷出孔240的数量并不限定于上述的数量。
环状构件排气孔230用于对处理空间222内进行排气,其与在环状构件220的内部沿着圆周方向设置的未图示的排气通路相连通。这些环状构件排气孔230的形状不限定于圆形,例如也可以是椭圆形等。这些环状构件排气孔230也起到将反应生成物排出的作用。
并且,环状构件喷出孔240用于自未图示的气体供给源向处理空间222内供给处理气体,其与在环状构件220的内部沿圆周方向设置的未图示的处理气体流路相连通。另外,环状构件喷出孔240既可以大致水平地形成而水平地喷出处理气体,也可以与水平方向成规定的角度地形成、例如自上方向下方、即朝向基板的表面供给处理气体。
在环状构件220和载置台202下部的高频一侧的线的接地侧设置有用于将该两者电连接起来的薄片电缆250。该薄片电缆250沿着环状构件220的圆周方向等间隔地设置有多个。如图4所示,薄片电缆250是通过利用绝缘层252包覆由铜等形成的薄片状的导体251的表面而构成的,在薄片电缆250的两侧端部附近设有连接部253,该连接部253露出导体并形成有用于紧固螺钉的通孔。该薄片电缆250的厚度例如为几百微米左右,其具有挠性,且随着环状构件220及簇射头100的上下运动能够自由地变形。
薄片电缆250的作用在于形成环状构件220及作为上部电极的簇射头100的高频的回路。图5表示该等效电路。如图5所示,作为上部电极的簇射头100和环状构件220电连接,它们电连接于高频一侧的线的接地侧。
如此,在本实施方式中并不是通过处理腔室壁等,而是通过薄片电缆250以较短的路径使环状构件220及作为上部电极的簇射头100电连接于高频一侧的线的接地侧。由此,能够将由等离子体导致的各部位的电位差抑制得极低。
而且,环状构件220及作为上部电极的簇射头100上下移动的同时始终地借助薄片电缆250电连接于高频一侧的线的接地侧,不会出现电浮动状态。
如上所述,在等离子蚀刻装置200中具备能够上下运动的环状构件220,所以,能够使处理空间222只形成于载置台202的上方,从而能够抑制向水平方向外侧扩展的无用的空间。由此,能够谋求削减消耗的处理气体等。并且,由于自环状构件220供给并排出处理气体,因此,能够更加精细地控制处理空间222内的处理气体的状态,从而能够进行均匀的处理。而且,能够依据处理的条件等改变作为上部电极的簇射头100和载置台202之间的距离。
因为处理空间222的物理形状对称,所以,能够抑制由存在后述的开口215形成的非对称形状给等离子体造成的影响,从而能够进行更均匀的处理;前述的开口215用于向处理腔室201内搬入半导体晶圆或者自处理腔室201内搬出半导体晶圆。
在使用上述构造的等离子蚀刻装置200对半导体晶圆进行等离子蚀刻时,首先如图3所示,使环状构件220及簇射头100上升,打开开口215。在该状态下,自开口215向处理腔室201内搬入半导体晶圆,将半导体晶圆载置在静电吸盘203上,将其静电吸附于静电吸盘203上。
接着,使环状构件220及簇射头100下降,并关闭开口215,成为在半导体晶圆的上方形成处理空间222的状态。并且,利用真空泵等经由排气孔13及环状构件排气孔230将处理腔室201内的处理空间222真空抽吸至规定的真空度。
之后,自未图示的气体供给源供给规定流量的规定的处理气体(蚀刻气体)。该处理气体经由簇射头100的气体流路12自气体喷出孔11以喷淋状被供给至载置台202上的半导体晶圆。与此同时,规定流量的规定的处理气体(蚀刻气体)自环状构件气体喷出孔240被朝向载置台202上的半导体晶圆供给。
并且,处理腔室201内的压力被维持为规定的压力之后,向载置台202施加规定的频率、例如13.56MHz的高频电力。由此,在作为上部电极的簇射头100和作为下部电极的载置台202之间产生高频电场,蚀刻气体离解而等离子化。利用该等离子体对半导体晶圆进行规定的蚀刻处理。
在上述蚀刻处理中,自簇射头100的气体喷出孔11及环状构件200的环状构件气体喷出孔240供给来的处理气体自分散形成于簇射头100的许多个排气孔13及形成于环状构件220的环状构件排气孔230被排出,所以,不会像自处理腔室201的下部排气的情况那样地形成自半导体晶圆的中央部流向周围部气流。因此,能够使供给至半导体晶圆的处理气体更加均匀化。由此,能够使等离子体的状态均匀化,从而能够对半导体晶圆的各部分实施均匀的蚀刻处理。即,能够提高处理的面内均匀性。
而且,当规定的等离子蚀刻处理完成时,停止施加高频电力和供给处理气体,以与上述操作顺序相反的操作顺序自处理腔室201内搬出半导体晶圆。
如上所述,采用本实施方式的等离子蚀刻装置200,利用簇射头100及环状构件220来进行处理气体的供给及排出,所以,能够使供给到半导体品圆的处理气体更加均匀化。由此,能够对半导体晶圆的各部分实施均匀的蚀刻处理。
在上述的等离子蚀刻装置200中,因为自设置于簇射头100的排气孔13及设置于环状构件220的环状构件排气孔230进行排气,所以,不必像以往的装置那样,在载置台202的周围和簇射头100的周围设置排气路径。因此,能够使处理腔室201的直径更加接近于作为被处理基板的半导体晶圆的外径,从而能够谋求装置的小型化。并且,因为能够将真空泵设置于处理腔室201的上方,从而能够自更靠近处理腔室201的处理空间的部分进行排气,所以,能够高效率地进行排气。并且,因为设置有两个排气系统,所以,能够减少每个真空泵的容量,从而能够进一步谋求小型化。
并且,能够依据处理的情况,改变簇射头(上部电极)100和载置台(下部电极)202之间的间隔,而且能够用较小的驱动力容易地使簇射头100上下移动,所以,能够谋求节能化和降低装置成本。
另外,不言而喻,本发明不限定于上述的实施方式,能够进行各种变形。例如,在上述实施方式中,针对向载置台(下部电极)供给1个频率的高频电力的情况进行了说明,但是本发明也同样适用于向下部电极施加频率不同的多个高频电力这种类型的装置等。

Claims (6)

1.一种等离子处理装置,其特征在于,
包括:
下部电极,其设置于处理腔室内,兼作用于载置基板的载置台;
上部电极,其与上述下部电极相对地设置于上述处理腔室内,且具有自多个气体喷出孔以喷淋状朝向上述基板供给气体的作为簇射头的功能,该多个气体喷出孔设置于该上部电极的与上述下部电极相对的相对面上,并且该上部电极能够上下移动,从而能够改变其与上述下部电极的间隔;
盖体,其设置于上述上部电极的上侧,用于气密地闭塞上述处理腔室的上部开口;
多个排气孔,其形成于上述相对面;
环状构件,其以沿上述上部电极的周缘部向下方突出的方式设置,并且能够与上述上部电极连动地上下运动,在其下降位置,形成由该环状构件、上述下部电极和上述上部电极围成的处理空间;
多个环状构件气体喷出孔,其开口于上述环状构件的内壁部分,用于向上述处理空间内供给气体;
多个环状构件排气孔,其开口于上述环状构件的内壁部分,用于对上述处理空间内进行排气。
2.根据权利要求1所述的等离子处理装置,其特征在于,
在上述处理腔室侧壁的、位于上述下部电极和上述上部电极之间的位置,设有用于搬入或搬出上述基板的能够自由开闭的开口部,在使上述环状构件上升后的状态下搬入或搬出上述基板。
3.根据权利要求1或2所述的等离子处理装置,其特征在于,
上述环状构件由覆盖有绝缘性覆膜的铝构成。
4.根据权利要求1至3中任一项所述的等离子处理装置,其特征在于,
上述多个环状构件气体喷出孔中的至少一部分喷出孔以相对于水平方向成规定的角度的方式形成。
5.根据权利要求1至4中任一项所述的等离子处理装置,其特征在于,
上述环状构件与上述上部电极在电导通状态下固定在一起,上述环状构件借助薄片电缆连接于接地电位,该薄片电缆由表面被绝缘层覆盖的金属薄片构成且具有挠性。
6.根据权利要求1至5中任一项所述的等离子处理装置,其特征在于,
使上述环状构件和上述上部电极进行上下移动的驱动方法是利用电动缸的多点驱动。
CN2010105794019A 2009-12-03 2010-12-03 等离子处理装置 Expired - Fee Related CN102142357B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009275564A JP5432686B2 (ja) 2009-12-03 2009-12-03 プラズマ処理装置
JP2009-275564 2009-12-03

Publications (2)

Publication Number Publication Date
CN102142357A true CN102142357A (zh) 2011-08-03
CN102142357B CN102142357B (zh) 2013-05-29

Family

ID=44080852

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010105794019A Expired - Fee Related CN102142357B (zh) 2009-12-03 2010-12-03 等离子处理装置

Country Status (5)

Country Link
US (1) US8986495B2 (zh)
JP (1) JP5432686B2 (zh)
KR (2) KR101977320B1 (zh)
CN (1) CN102142357B (zh)
TW (1) TWI497583B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105225914A (zh) * 2015-08-25 2016-01-06 沈阳拓荆科技有限公司 一种改善晶圆表面薄膜形貌的半导体等离子处理装置
CN114203506A (zh) * 2020-09-18 2022-03-18 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法

Families Citing this family (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
SG11201402447TA (en) * 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20140060739A1 (en) * 2012-08-31 2014-03-06 Rajinder Dhindsa Rf ground return in plasma processing systems and methods therefor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN111211067A (zh) * 2018-11-22 2020-05-29 东泰高科装备科技有限公司 工艺腔室和半导体处理设备
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019201A (ja) * 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111725111B (zh) * 2020-06-24 2023-08-18 北京北方华创微电子装备有限公司 半导体工艺设备的反应腔室及半导体工艺设备
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058518A (ja) * 1998-07-31 2000-02-25 Kokusai Electric Co Ltd 基板処理装置
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
CN101533764A (zh) * 2008-03-14 2009-09-16 东京毅力科创株式会社 喷淋头和基板处理装置
CN101540273A (zh) * 2008-03-19 2009-09-23 东京毅力科创株式会社 喷淋头以及基板处理装置
US20090242127A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Plasma etching apparatus and method, and computer-readable storage medium

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2848480C2 (de) * 1978-11-08 1984-11-08 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Aufbringen von Schichten auf Träger unter Vakuum
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
EP0254651B1 (en) * 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
EP0251764B1 (en) * 1986-06-30 1996-03-27 Nihon Sinku Gijutsu Kabushiki Kaisha Chemical vapour deposition methods and apparatus
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5456945A (en) * 1988-12-27 1995-10-10 Symetrix Corporation Method and apparatus for material deposition
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
JPH03203317A (ja) * 1989-12-29 1991-09-05 Matsushita Electric Ind Co Ltd プラズマ処理装置
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
JPH0582044U (ja) * 1992-04-07 1993-11-05 国際電気株式会社 プラズマ処理装置
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JP2662365B2 (ja) 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
JP3432636B2 (ja) * 1995-04-05 2003-08-04 東京エレクトロン株式会社 処理装置及び処理方法
US5833753A (en) * 1995-12-20 1998-11-10 Sp 3, Inc. Reactor having an array of heating filaments and a filament force regulator
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US20040089238A1 (en) * 1999-10-04 2004-05-13 Jerome Birnbaum Vacuum/gas phase reactor for dehydroxylation and alkylation of porous silica
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP4222707B2 (ja) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 プラズマ処理装置及び方法、ガス供給リング及び誘電体
US6666920B1 (en) * 2000-08-09 2003-12-23 Itt Manufacturing Enterprises, Inc. Gas collector for providing an even flow of gasses through a reaction chamber of an epitaxial reactor
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP4672861B2 (ja) * 2000-12-15 2011-04-20 東京エレクトロン株式会社 プラズマ処理装置
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP3616366B2 (ja) * 2001-10-23 2005-02-02 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR100443908B1 (ko) * 2001-10-25 2004-08-09 삼성전자주식회사 플라즈마 화학기상증착장치 및 이를 이용한나이트라이드막 형성방법
US7524532B2 (en) * 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
JP4186536B2 (ja) * 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
JP4173389B2 (ja) * 2003-03-19 2008-10-29 東京エレクトロン株式会社 プラズマ処理装置
JP4399219B2 (ja) 2003-09-19 2010-01-13 東京エレクトロン株式会社 プラズマ処理装置及び上部電極ユニット
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
KR101033123B1 (ko) * 2004-06-30 2011-05-11 엘지디스플레이 주식회사 액정표시장치의 제조를 위한 챔버형 장치
US8282768B1 (en) * 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
JP2006344701A (ja) 2005-06-08 2006-12-21 Matsushita Electric Ind Co Ltd エッチング装置およびエッチング方法
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
EP1992007A4 (en) * 2006-03-03 2010-05-05 Prasad Gadgil APPARATUS AND METHOD FOR THIN FILM CHEMICAL PROCESSING BY MULTIPLE ATOMIC LAYER OVER AN EXTENDED AREA
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7554103B2 (en) * 2006-06-26 2009-06-30 Applied Materials, Inc. Increased tool utilization/reduction in MWBC for UV curing chamber
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US20110049100A1 (en) * 2008-01-16 2011-03-03 Charm Engineering Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
EP2347030B1 (en) * 2008-10-08 2018-05-16 Abcd Technology Sarl Vapor phase deposition system
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000058518A (ja) * 1998-07-31 2000-02-25 Kokusai Electric Co Ltd 基板処理装置
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
CN101533764A (zh) * 2008-03-14 2009-09-16 东京毅力科创株式会社 喷淋头和基板处理装置
CN101540273A (zh) * 2008-03-19 2009-09-23 东京毅力科创株式会社 喷淋头以及基板处理装置
US20090242127A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Plasma etching apparatus and method, and computer-readable storage medium

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105225914A (zh) * 2015-08-25 2016-01-06 沈阳拓荆科技有限公司 一种改善晶圆表面薄膜形貌的半导体等离子处理装置
CN114203506A (zh) * 2020-09-18 2022-03-18 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法
CN114203506B (zh) * 2020-09-18 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法

Also Published As

Publication number Publication date
KR101791991B1 (ko) 2017-11-01
TWI497583B (zh) 2015-08-21
KR101977320B1 (ko) 2019-05-10
US8986495B2 (en) 2015-03-24
CN102142357B (zh) 2013-05-29
US20110132542A1 (en) 2011-06-09
TW201142940A (en) 2011-12-01
JP5432686B2 (ja) 2014-03-05
JP2011119461A (ja) 2011-06-16
KR20110063342A (ko) 2011-06-10
KR20170015413A (ko) 2017-02-08

Similar Documents

Publication Publication Date Title
CN102142357B (zh) 等离子处理装置
US10699935B2 (en) Semiconductor manufacturing device and processing method
US20210183681A1 (en) Substrate support with multiple embedded electrodes
US11404249B2 (en) Substrate processing apparatus
CN102024694B (zh) 等离子处理装置
TWI517281B (zh) 電漿處理裝置
CN109216148A (zh) 等离子体处理装置
JP6954982B2 (ja) 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
TWI731994B (zh) 用於介電蝕刻腔室之腔室填充物套組
JP2010021166A (ja) プラズマ処理装置
TW202412559A (zh) 用於處理基板之設備及用於處理基板之方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130529