KR101977320B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR101977320B1
KR101977320B1 KR1020100121294A KR20100121294A KR101977320B1 KR 101977320 B1 KR101977320 B1 KR 101977320B1 KR 1020100121294 A KR1020100121294 A KR 1020100121294A KR 20100121294 A KR20100121294 A KR 20100121294A KR 101977320 B1 KR101977320 B1 KR 101977320B1
Authority
KR
South Korea
Prior art keywords
annular member
upper electrode
lower electrode
gas
processing chamber
Prior art date
Application number
KR1020100121294A
Other languages
English (en)
Other versions
KR20110063342A (ko
Inventor
하치시로 이이즈카
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110063342A publication Critical patent/KR20110063342A/ko
Application granted granted Critical
Publication of KR101977320B1 publication Critical patent/KR101977320B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

종래에 비해 처리의 면내 균일성의 향상을 도모할 수 있고 또한, 처리 챔버 내의 불필요한 공간을 삭감하여 장치의 소형화를 도모할 수 있고 또한, 상부 전극과 하부 전극의 간격을 용이하게 변경할 수 있는 플라즈마 처리 장치를 제공한다. 하부 전극과 대향하도록 처리 챔버 내에 설치되고 대향면에 복수 설치된 가스 토출홀로부터 가스를 공급하고, 상하 이동 가능한 상부 전극과, 상부 전극의 상측에 설치되고 처리 챔버의 상부 개구를 기밀하게 폐색하는 덮개체와, 대향면에 형성된 복수의 배기홀과, 상부 전극의 주연부를 따라 설치되고 상부 전극과 연동하여 상하 이동 가능하게 되고 하강 위치에서 하부 전극과 상부 전극과 상기 환상 부재에 의해 둘러싸인 처리 공간을 형성하는 환상 부재와, 환상 부재의 내벽 부분에 개구하는 복수의 환상 부재측 가스 토출홀과, 환상 부재의 내벽 부분에 개구하는 복수의 환상 부재측 배기홀을 구비한 플라즈마 처리 장치.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은 플라즈마 처리 장치에 관한 것이다.
종래부터 반도체 장치의 제조 분야 등에서는, 반도체 웨이퍼 등의 기판을 향하여 가스를 샤워 형상으로 공급하기 위한 샤워 헤드가 이용되고 있다. 즉, 예를 들면 반도체 웨이퍼 등의 기판에 플라즈마 에칭 처리를 실시하는 플라즈마 처리 장치에서는, 처리 챔버 내에 기판을 재치하기 위한 재치대가 설치되어 있고, 이 재치대와 대향하도록 샤워 헤드가 설치되어 있다. 이 샤워 헤드에는 재치대와 대향하는 대향면에 가스 토출홀이 복수 형성되어 있고, 이들 가스 토출홀로부터 기판을 향하여 가스를 샤워 형상으로 공급한다.
상기한 플라즈마 처리 장치에서는, 처리 챔버 내의 가스의 흐름을 균일화하기 위하여, 재치대의 주위로부터 하방으로 배기를 행하는 구성으로 한 것이 알려져 있다. 또한, 플라즈마 처리의 면내 균일성을 향상시키기 위하여, 상기한 샤워 헤드에 추가로, 재치대의 기판의 주위의 부분에 기판을 향하여 가스를 공급하는 가스 토출부를 설치한 플라즈마 처리 장치가 알려져 있다(예를 들면, 특허 문헌 1 참조). 또한, 샤워 헤드의 주위로부터 처리 챔버의 상방을 향하여 배기를 행하도록 구성된 플라즈마 처리 장치도 알려져 있다(예를 들면, 특허 문헌 2 참조). 또한, 상부 전극으로서의 샤워 헤드를 상하 이동 가능하게 하여, 하부 전극으로서의 재치대와의 간격을 변경 가능하게 한 플라즈마 처리 장치도 알려져 있다(예를 들면, 특허 문헌 3 참조).
일본특허공개공보 2006-0344701 호 일본특허 제 2662365 호 일본특허공개공보 2005-93843 호
상기의 종래의 기술에서는, 재치대(기판)의 주위로부터 처리 챔버의 하방으로 배기하거나, 또는 샤워 헤드의 주위로부터 처리 챔버의 상방을 향하여 배기하는 구성으로 되어 있다. 이 때문에, 샤워 헤드로부터 공급된 가스가 기판의 중앙부로부터 주변부를 향하여 흐르는 흐름이 형성되고, 기판의 중앙부와 주변부에서 처리 상태에 차이가 생기기 쉬워, 처리의 면내 균일성이 저하된다고 하는 문제가 있었다. 또한, 재치대(기판)의 주위 또는 샤워 헤드의 주위에 배기 유로를 설치할 필요가 있기 때문에, 처리 챔버 내부의 용적이 수용하는 기판보다 상당히 대형이 되어, 불필요한 공간이 많아져 장치 전체의 소형화를 도모하는 것이 어렵다고 하는 문제가 있었다.
또한, 샤워 헤드가 상부 전극, 재치대가 하부 전극을 겸한 용량 결합형의 플라즈마 처리 장치에서는, 이 상부 전극(샤워 헤드)과 하부 전극(재치대)의 간격을 가변으로 하는 것이 요구된다. 그러나, 처리 챔버 내가 감압 분위기로 되기 때문에, 처리 챔버 내외의 압력차에 저항하여 상부 전극(샤워 헤드) 또는 하부 전극(재치대)을 상하 이동시키기 위해서는 구동원에 큰 힘이 필요하고, 구동에 필요한 에너지도 많아진다고 하는 문제가 있었다.
본 발명은, 상기 종래의 사정에 대처하여 이루어진 것으로, 종래에 비해 처리의 면내 균일성의 향상을 도모할 수 있고 또한, 처리 챔버 내의 불필요한 공간을 삭감하여 장치의 소형화를 도모할 수 있고 또한, 상부 전극과 하부 전극의 간격을 용이하게 변경할 수 있는 플라즈마 처리 장치를 제공하고자 하는 것이다.
본 발명의 플라즈마 처리 장치는, 처리 챔버 내에 설치되고 기판을 재치하기 위한 재치대를 겸한 하부 전극과, 상기 하부 전극과 대향하도록 상기 처리 챔버 내에 설치되고 상기 하부 전극과 대향하는 대향면에 복수 설치된 가스 토출홀로부터 상기 기판을 향하여 가스를 샤워 형상으로 공급하는 샤워 헤드로서의 기능을 구비하고, 또한 상하 이동 가능하게 되어 상기 하부 전극과의 간격을 변경 가능하게 된 상부 전극과, 상기 상부 전극의 상측에 설치되고 상기 처리 챔버의 상부 개구를 기밀하게 폐색하는 덮개체와, 상기 대향면에 형성된 복수의 배기홀과, 상기 상부 전극의 주연부를 따라 하방으로 돌출하도록 설치되고 상기 상부 전극과 연동하여 상하 이동 가능하게 된 환상(環狀) 부재이며, 하강 위치에서 상기 하부 전극과 상기 상부 전극과 상기 환상 부재에 의해 둘러싸인 처리 공간을 형성하는 환상 부재와, 상기 환상 부재의 내벽 부분에 개구하고 상기 처리 공간 내로 가스를 공급하기 위한 복수의 환상 부재측 가스 토출홀과, 상기 환상 부재의 내벽 부분에 개구하고 상기 처리 공간 내를 배기하기 위한 복수의 환상 부재측 배기홀을 구비한 것을 특징으로 한다.
본 발명에 따르면, 종래에 비해 처리의 면내 균일성의 향상을 도모할 수 있고 또한, 처리 챔버 내의 불필요한 공간을 삭감하여 장치의 소형화를 도모할 수 있고 또한, 상부 전극과 하부 전극의 간격을 용이하게 변경할 수 있는 플라즈마 처리 장치를 제공할 수 있다.
도 1은 본 발명의 일실시예에 따른 플라즈마 처리 장치의 구성을 도시한 종단면도이다.
도 2는 도 1의 플라즈마 처리 장치의 주요부 구성을 확대하여 도시한 종단면도이다.
도 3은 도 1의 플라즈마 처리 장치의 샤워 헤드를 상승시킨 상태를 도시한 종단면도이다.
도 4는 도 1의 플라즈마 처리 장치의 시트 케이블의 구성을 도시한 상면도이다.
도 5는 도 1의 플라즈마 처리 장치의 등가 회로를 도시한 도이다.
이하, 본 발명의 상세를 도면을 참조하여 실시예에 대하여 설명한다.
도 1은, 본 발명의 플라즈마 처리 장치의 일실시예에 따른 플라즈마 에칭 장치(200)의 단면 구성을 모식적으로 도시한 도이며, 도 2는, 도 1의 플라즈마 에칭 장치(200)에 설치된 샤워 헤드(100)의 구성을 모식적으로 도시한 단면도이다. 이 플라즈마 에칭 장치(200)는 전극판이 상하 평행하게 대향하고, 플라즈마 형성용 전원(도시하지 않음)이 접속된 용량 결합형 평행 평판 플라즈마 에칭 장치로서 구성되어 있다.
도 2에 도시한 바와 같이, 샤워 헤드(100)는 하측 부재(1)와, 이 하측 부재(1)의 상측에 배치된 상측 부재(2)의 2 매의 판 형상 부재를 적층시킨 적층체(10)로 구성되어 있다. 이들 하측 부재(1) 및 상측 부재(2)는, 예를 들면 표면에 양극 산화 처리를 실시한 알루미늄 등으로 구성되어 있다. 이 샤워 헤드(100)는 도 1에 도시한 바와 같이, 플라즈마 에칭 장치(200)의 처리 챔버(201)에, 반도체 웨이퍼(기판)가 재치되는 재치대(202)와 대향하도록 배설된다. 즉, 도 2에 도시한 하측 부재(1)측이 도 1에 도시한 재치대(202)와 대향하는 대향면(14)을 형성하도록 배설된다.
상기 적층체(10) 중, 재치대(202)와 대향하는 대향면(14)을 형성하는 하측 부재(1)에는 가스 토출홀(11)이 다수 형성되어 있고, 하측 부재(1)와 상측 부재(2)의 사이에는 이들 가스 토출홀(11)에 연통하는 가스 유로(12)가 형성되어 있다. 이들 가스 토출홀(11)은, 도 2 중에 화살표로 도시한 바와 같이 기판(도 2 중하측)을 향하여 가스를 샤워 형상으로 공급하기 위한 것이다. 또한 적층체(10)의 주연부에는, 가스 유로(12) 내로 가스를 도입하기 위한 가스 도입부(도시하지 않음)가 설치되어 있다.
또한 상기 적층체(10)에는, 이 적층체(10), 즉 하측 부재(1)와 상측 부재(2)를 관통하여 다수의 배기홀(13)이 형성되어 있다. 이들 배기홀(13)은, 도 2 중에 점선의 화살표로 도시한 바와 같이, 기판측(도 2 중하측)으로부터 기판과 반대측(도 2 중상측)을 향하여 가스의 흐름이 형성되도록 배기를 행하는 배기 기구를 구성하고 있다.
이들 배기홀(13)은, 직경이 예를 들면 1.2 mm 정도로 되어 있고, 샤워 헤드(100)의 주연부(후술하는 환상 부재(220)를 고정하기 위한 고정부가 됨)를 제외하고 그 전영역에 걸쳐 대략 균등하게 설치되어 있다. 배기홀(13)의 수는, 예를 들면 12 인치(300 mm) 직경의 반도체 웨이퍼를 처리하기 위한 샤워 헤드(100)의 경우, 2000 ~ 2500 개 정도이다. 배기홀(13)의 형상은 원형에 한정되지 않고, 예를 들면 타원 형상 등으로 해도 좋고, 이들 배기홀(13)은 반응 생성물을 배출하는 역할도 한다. 또한 본 실시예에서는, 샤워 헤드(100)의 외형은 피처리 기판인 반도체 웨이퍼의 외형에 맞추어 원판 형상으로 구성되어 있다.
도 1에 도시된 플라즈마 에칭 장치(200)의 처리 챔버(처리 용기)(201)는, 예를 들면 표면이 양극 산화 처리된 알루미늄 등으로 원통 형상으로 형성되어 있고, 이 처리 챔버(201)는 접지되어 있다. 처리 챔버(201) 내에는, 피처리 기판으로서의 반도체 웨이퍼를 재치하고 또한 하부 전극을 구성하는 재치대(202)가 설치되어 있다. 이 재치대(202)에는, 도시하지 않은 고주파 전원 등의 고주파 전력 인가 장치가 접속되어 있다.
재치대(202)의 상측에는, 그 위에 반도체 웨이퍼를 정전 흡착하기 위한 정전 척(203)이 설치되어 있다. 정전 척(203)은, 절연재 간에 전극을 배치하여 구성되어 있고, 이 전극에 직류 전압을 인가함으로써, 쿨롱력에 의해 반도체 웨이퍼를 정전 흡착한다. 또한 재치대(202)에는, 온도 조절 매체를 순환시키기 위한 유로(도시하지 않음)가 형성되어 있어, 정전 척(203)상에 흡착된 반도체 웨이퍼를 소정의 온도로 온도 조정할 수 있도록 되어 있다. 또한 도 3에 도시한 바와 같이, 처리 챔버(201)의 측벽부에는, 반도체 웨이퍼를 처리 챔버(201) 내로 반입, 반출하기 위한 개구(215)가 형성되어 있다.
재치대(202)의 상방에 재치대(202)와 간격을 두고 대향하도록 도 2에 도시한 샤워 헤드(100)가 배치되어 있다. 그리고, 샤워 헤드(100)가 상부 전극이 되고, 재치대(202)가 하부 전극이 되는 한 쌍의 대향 전극이 형성되어 있다. 샤워 헤드(100)의 가스 유로(12) 내에는 도시하지 않은 가스 공급원으로부터 소정의 처리 가스(에칭 가스)가 공급된다.
또한 샤워 헤드(100)의 상부에는, 처리 챔버(201)의 상부 개구를 기밀하게 폐색하고, 처리 챔버(201)의 천장부를 구성하는 덮개체(205)가 설치되어 있고, 이 덮개체(205)의 중앙부에는 통 형상의 배기관(210)이 배설되어 있다. 이 배기관(210)에는, 개폐 제어 밸브 및 개폐 기구 등을 개재하여 터보 분자 펌프 등의 진공 펌프(도시하지 않음)가 접속되어 있다.
샤워 헤드(100)의 하면에는, 그 주연부를 따라 하방으로 돌출하도록 원환 형상(원통 형상)으로 형성된 환상 부재(220)가 설치되어 있다. 이 환상 부재(220)는, 예를 들면 절연성의 피막(양극 산화 피막 등)으로 덮인 알루미늄 등으로 구성되어 있고, 상부 전극으로서의 샤워 헤드(100)와 전기적으로 도통한 상태로 고정되어 있다.
환상 부재(220)는 승강 기구(221)에 접속되어 있고, 샤워 헤드(100)와 함께 상하 이동 가능하게 되어 있다. 이 환상 부재(220)의 내경은 재치대(202)의 외경보다 약간 크게 설정되어 있고, 그 하측 부분이 재치대(202)의 주위를 둘러싸는 상태가 되는 위치로 하강시킬 수 있도록 되어 있다. 도 1은, 환상 부재(220) 및 샤워 헤드(100)를 하강 위치로 한 상태를 도시하고 있다. 이 하강 위치에서는, 재치대(202)의 상방에는, 재치대(하부 전극)(202)와 샤워 헤드(상부 전극)(100)와 환상 부재(220)에 의해 둘러싸인 처리 공간(222)이 형성되도록 되어 있다. 이와 같이, 상하 이동 가능한 환상 부재(220)에 의해 처리 공간(222)을 구획함으로써, 처리 공간(222)을 재치대(202)의 상방에만 형성하고, 재치대(202)의 주연부로부터 외측을 향하여 수평 방향으로 펼쳐진 불필요한 공간이 형성되는 것을 억제할 수 있다.
한편 도 3은, 환상 부재(220) 및 샤워 헤드(100)를 상승 위치로 한 상태를 도시하고 있다. 이 상승 위치에서는, 반도체 웨이퍼를 처리 챔버(201) 내로 반입, 반출하기 위한 개구(215)가 열린 상태로 되어 있고, 이 상태로 반도체 웨이퍼의 처리 챔버(201)로의 반입, 반출이 행해지도록 되어 있다. 이 개구(215)는, 도 1에 도시한 바와 같이 환상 부재(220) 및 샤워 헤드(100)를 하강 위치로 했을 때에는, 환상 부재(220)에 의해 덮여 폐색된 상태로 되어 있다.
승강 기구(221)의 구동원으로서, 본 실시예에서는 전동 실린더(260)를 이용하고 있다. 그리고, 복수의 승강 기구(221)를 처리 챔버(201)의 둘레 방향을 따라 등간격으로 설치한 다축 구동 방식으로 되어 있다. 이와 같이, 전동 실린더(260)를 이용한 다축 구동 방식으로 함으로써, 예를 들면 공기압 구동의 구동 기구로 한 경우에 비해 환상 부재(220) 및 샤워 헤드(100)의 위치를 정밀도 높게 제어할 수 있다. 또한 다축 구동 방식으로 해도, 그 협조 제어를 전기적으로 용이하게 행할 수 있다.
도 1에 도시한 바와 같이, 전동 실린더(260)의 구동축은 승강축(261)에 접속되어 있고, 이 승강축(261)은 처리 챔버(201)의 저부(底部)로부터 처리 챔버(201) 내의 상부를 향하여 연장되도록 입설(立設)된 원통 형상의 고정축(262) 내를 관통하도록 배설되어 있다. 그리고, 기밀 봉지(封止)부(263)에서, 예를 들면 2 중의 O 링 등에 의해 승강축(261)의 구동 부분의 기밀 봉지가 이루어져 있다.
본 실시예에서는, 샤워 헤드(100)가 처리 챔버(201)의 상부 개구를 기밀하게 폐색하는 덮개체(205)의 내측의 감압 분위기 내에 배치되어 있어, 샤워 헤드(100) 자체에 감압 분위기와 대기 분위기의 사이의 압력차가 가해지지 않고, 승강축(261)의 부분에만 압력차가 가해진다. 이 때문에, 샤워 헤드(100)를 적은 구동력으로 용이하게 상하 이동시킬 수 있어 에너지 절약화를 도모할 수 있다. 또한, 구동 기구의 기계적 강도를 경감할 수 있으므로, 장치 코스트의 저감을 도모할 수 있다.
환상 부재(220)에는 그 내주면에 개구하는 복수의 환상 부재측 배기홀(230)과 복수의 환상 부재측 토출홀(240)이 형성되어 있다. 본 실시예에서는, 환상 부재측 배기홀(230)은 상하 방향을 따라 직선상에 3 개씩 형성되고, 환상 부재(220)의 원둘레 방향을 따라 소정 간격으로 균일하게 형성되어 있다. 또한, 환상 부재측 토출홀(240)은 상하 방향을 따라 직선상에 4 개씩 형성되고, 환상 부재(220)의 원둘레 방향을 따라 소정 간격으로 균일하게 형성되어 있다. 또한 환상 부재측 배기홀(230), 환상 부재측 토출홀(240)의 수는 상기의 수에 한정되는 것은 아니다.
환상 부재측 배기홀(230)은 처리 공간(222) 내를 배기하기 위한 것이며, 환상 부재(220)의 내부에 원둘레 방향을 따라 형성된 도시하지 않은 배기로와 연통되어 있다. 이들 환상 부재측 배기홀(230)의 형상은 원형에 한정되지 않고, 예를 들면 타원 형상 등으로 해도 좋다. 이들 환상 부재측 배기홀(230)은 반응 생성물을 배출하는 역할도 한다.
또한 환상 부재측 토출홀(240)은, 도시하지 않은 가스 공급원으로부터 처리 공간(222) 내로 처리 가스를 공급하기 위한 것이며, 환상 부재(220)의 내부에 원둘레 방향을 따라 형성된 도시하지 않은 처리 가스 유로와 연통되어 있다. 또한 환상 부재측 토출홀(240)은, 대략 수평하게 형성하여 수평하게 처리 가스를 토출하는 구성으로 해도 좋고, 수평 방향으로부터 소정 각도를 가지도록 형성하여, 예를 들면 상방으로부터 하방을 향하여, 즉 기판의 표면을 향하여 처리 가스를 공급하는 구성으로 해도 좋다.
환상 부재(220)와, 재치대(202) 하부의 고주파측 라인의 접지측에는, 이들 사이를 전기적으로 접속하기 위한 시트 케이블(250)이 설치되어 있다. 이 시트 케이블(250)은 환상 부재(220)의 둘레 방향을 따라 등간격으로 복수 설치되어 있다. 도 4에 도시한 바와 같이, 시트 케이블(250)은 구리 등으로 이루어지는 시트 형상의 도체(251)의 표면을 절연층(252)으로 피복하여 구성되고, 그 양측 단부(端部) 근방에는 도체가 노출되어 나사 고정용의 관통홀이 형성된 접속부(253)가 설치되어 있다. 이 시트 케이블(250)은, 두께가 예를 들면 수백 미크론 정도로 되어 있고, 가요성을 가져 환상 부재(220) 및 샤워 헤드(100)의 상하 이동에 따라 자유롭게 변형하도록 구성되어 있다.
시트 케이블(250)은, 환상 부재(220) 및 상부 전극으로서의 샤워 헤드(100)의 고주파의 리턴을 목적으로 한 것이다. 이 등가 회로를 도 5에 도시한다. 도 5에 도시한 바와 같이, 상부 전극으로서의 샤워 헤드(100)와 환상 부재(220)가 전기적으로 접속되고, 고주파측 라인의 접지측에 전기적으로 접속되어 있다.
이와 같이 본 실시예에서는, 처리 챔버벽 등이 아니고 시트 케이블(250)에 의해 짧은 경로에서 환상 부재(220) 및 상부 전극으로서의 샤워 헤드(100)가 고주파측 라인의 접지측에 전기적으로 접속되어 있다. 이에 따라 플라즈마에 의한 각 부위의 전위차를 매우 낮게 억제할 수 있도록 되어 있다.
또한, 환상 부재(220) 및 상부 전극으로서의 샤워 헤드(100)가 상하 이동하는 구성이면서, 이들이 시트 케이블(250)에 의해 항상 고주파측 라인의 접지측에 전기적으로 접속된 구성으로 되어 있고, 전기적으로 플로팅 상태가 되지 않도록 구성되어 있다.
상기한 바와 같이, 플라즈마 에칭 장치(200)에서는, 상하 이동 가능하게 된환상 부재(220)를 구비하고 있으므로, 처리 공간(222)을 재치대(202)의 상방에만 형성할 수 있고, 수평 방향 외측에 펼쳐진 불필요한 공간이 형성되는 것을 억제할 수 있다. 이에 따라 소비되는 처리 가스의 삭감 등을 도모할 수 있다. 또한, 환상 부재(220)로부터 처리 가스의 공급 및 배기를 행하므로, 처리 공간(222) 내의 처리 가스 상태를 보다 세세하게 제어할 수 있어 균일한 처리를 행할 수 있다. 또한, 상부 전극으로서의 샤워 헤드(100)와 재치대(202) 간의 거리를 처리 조건 등에 따라 변경할 수 있다.
또한, 처리 공간(222)의 물리적인 형상이 대칭이 되어, 반도체 웨이퍼를 처리 챔버(201) 내로 반입, 반출하기 위한 개구(215)가 존재하는 것에 따른 비대칭인 형상의 영향이 플라즈마에 가해지는 것을 억제할 수 있으므로, 보다 균일한 처리를 행할 수 있다.
상기 구성의 플라즈마 에칭 장치(200)에 의해 반도체 웨이퍼의 플라즈마 에칭을 행하는 경우, 우선 도 3에 도시한 바와 같이 환상 부재(220) 및 샤워 헤드(100)를 상승시켜 개구(215)를 연다. 이 상태로, 반도체 웨이퍼를 개구(215)로부터 처리 챔버(201) 내로 반입하고, 반도체 웨이퍼를 정전 척(203)상에 재치하여 정전 척(203)상에 정전 흡착한다.
이어서, 환상 부재(220) 및 샤워 헤드(100)를 하강시키고 또한 개구(215)를 닫아, 반도체 웨이퍼의 상방에 처리 공간(222)을 형성한 상태로 한다. 그리고, 진공 펌프 등에 의해 배기홀(13) 및 환상 부재측 배기홀(230)을 거쳐 처리 챔버(201) 내의 처리 공간(222)을 소정의 진공도까지 진공 배기한다.
그 후, 소정 유량의 소정의 처리 가스(에칭 가스)를 도시하지 않은 가스 공급원으로부터 공급한다. 이 처리 가스는, 샤워 헤드(100)의 가스 유로(12)를 거쳐 가스 토출홀(11)로부터 샤워 형상으로 재치대(202)상의 반도체 웨이퍼로 공급된다. 이와 함께, 소정 유량의 소정의 처리 가스(에칭 가스)가 환상 부재측 가스 토출홀(240)로부터 재치대(202)상의 반도체 웨이퍼를 향하여 공급된다.
그리고, 처리 챔버(201) 내의 압력이 소정의 압력으로 유지된 후, 재치대(202)에 소정의 주파수, 예를 들면 13.56 MHz의 고주파 전력이 인가된다. 이에 따라, 상부 전극으로서의 샤워 헤드(100)와 하부 전극으로서의 재치대(202)의 사이에 고주파 전계가 발생하여 에칭 가스가 해리하여 플라즈마화된다. 이 플라즈마에 의해 반도체 웨이퍼에 소정의 에칭 처리가 행해진다.
상기 에칭 처리에서, 샤워 헤드(100)의 가스 토출홀(11) 및 환상 부재(220)의 환상 부재측 가스 토출홀(240)로부터 공급된 처리 가스는, 샤워 헤드(100)에 분산되어 다수 형성된 배기홀(13) 및 환상 부재(220)에 형성된 환상 부재측 배기홀(230)로부터 배기되므로, 처리 챔버(201)의 하부로부터 배기를 행하는 경우와 같이, 반도체 웨이퍼의 중앙부로부터 주변부를 향하는 것과 같은 가스의 흐름이 형성되지 않는다. 이 때문에, 반도체 웨이퍼로 공급되는 처리 가스를 보다 균일화할 수 있다. 이에 따라 플라즈마 상태를 균일화할 수 있어, 반도체 웨이퍼의 각 부에 균일한 에칭 처리를 실시할 수 있다. 즉, 처리의 면내 균일성을 향상시킬 수 있다.
그리고, 소정의 플라즈마 에칭 처리가 종료되면, 고주파 전력의 인가 및 처리 가스의 공급이 정지되어 상기한 순서와는 반대 순서로 반도체 웨이퍼가 처리 챔버(201) 내로부터 반출된다.
상기한 바와 같이, 본 실시예의 플라즈마 에칭 장치(200)에 따르면, 샤워 헤드(100) 및 환상 부재(220)로부터 처리 가스의 공급 및 배기를 행하는 구성으로 되어 있으므로, 반도체 웨이퍼로 공급되는 처리 가스를 보다 균일화할 수 있다. 이에 따라, 반도체 웨이퍼의 각 부에 균일한 에칭 처리를 실시할 수 있다.
또한, 상기의 플라즈마 에칭 장치(200)에서는, 샤워 헤드(100)에 형성한 배기홀(13) 및 환상 부재(220)에 형성한 환상 부재측 배기홀(230)로부터 배기를 행하므로, 종래의 장치와 같이 재치대(202)의 주위 또는 샤워 헤드(100)의 주위에 배기 경로를 형성할 필요가 없다. 이 때문에, 처리 챔버(201)의 직경을 보다 피처리 기판인 반도체 웨이퍼의 외경에 접근시키는 것이 가능해지며, 장치의 소형화를 도모할 수 있다. 또한, 진공 펌프를 처리 챔버(201)의 상방에 설치할 수 있고, 처리 챔버(201)의 처리 공간에 의해 가까운 부분부터 배기할 수 있으므로, 효율적으로 배기할 수 있다. 또한, 2 개의 배기계를 설치하고 있으므로, 1 개의 진공 펌프의 용량을 줄일 수 있어 더욱 소형화를 도모할 수 있다.
또한, 샤워 헤드(상부 전극)(100)와 재치대(하부 전극)(202)의 간격을 처리에 따라 변경할 수 있고, 또한 샤워 헤드(100)를 적은 구동력으로 용이하게 상하 이동시킬 수 있으므로, 에너지 절약화 또는 장치 코스트의 저감을 도모할 수 있다.
또한 본 발명은 상기 실시예에 한정되지 않고, 각종의 변형이 가능한 것은 물론이다. 예를 들면 상기 실시예에서는, 재치대(하부 전극)에 1 개의 주파수의 고주파 전력을 공급하는 경우에 대해 설명했지만, 하부 전극에 주파수가 상이한 복수의 고주파 전력을 인가하는 타입의 장치 등에 대해서도 동일하게 하여 적용할 수 있다.
11 : 가스 토출홀
13 : 배기홀
100 : 샤워 헤드(상부 전극)
200 : 플라즈마 에칭 장치
201 : 처리 챔버
202 : 재치대(하부 전극)
205 : 덮개체
220 : 환상 부재
221 : 승강 기구
222 : 처리 공간
230 : 환상 부재측 배기홀
240 : 환상 부재측 공급홀

Claims (6)

  1. 처리 챔버 내에 설치되고 기판을 재치하기 위한 재치대를 겸한 하부 전극과,
    상기 하부 전극과 대향하도록 상기 처리 챔버 내에 설치되고 상기 하부 전극과 대향하는 대향면에 복수 설치된 가스 토출홀로부터 상기 기판을 향하여 가스를 샤워 형상으로 공급하는 샤워 헤드로서의 기능을 구비하고, 또한 상하 이동 가능하게 되어 상기 하부 전극과의 간격을 변경 가능하게 된 상부 전극과,
    상기 상부 전극의 상측에 설치되고 상기 처리 챔버의 상부 개구를 기밀하게 폐색하는 덮개체와,
    상기 대향면에 형성된 복수의 배기홀과,
    상기 상부 전극의 주연부를 따라 하방으로 돌출하도록 설치되고 상기 상부 전극과 연동하여 상하 이동 가능하게 된 환상 부재이며, 하강 위치에서 상기 하부 전극과 상기 상부 전극과 상기 환상 부재에 의해 둘러싸인 처리 공간을 형성하는 환상 부재와,
    상기 환상 부재의 내벽 부분에 개구하고 상기 처리 공간 내로 가스를 공급하기 위한 복수의 환상 부재측 가스 토출홀과,
    상기 환상 부재의 내벽 부분에 개구하고 상기 처리 공간 내를 배기하기 위한 복수의 환상 부재측 배기홀
    을 구비하고,
    상기 환상 부재와 상기 상부 전극은 전기적으로 도통한 상태로 고정되고, 상기 환상 부재는 상기 하부 전극의 하부의 고주파측 라인의 접지측과 전기적으로 접속되어 있고,
    상기 환상 부재측 가스 토출홀 중 적어도 일부가 기판을 향하여 가스를 공급하도록 상방으로부터 하방을 향하여 수평 방향에 대하여 소정 각도를 갖도록 형성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 처리 챔버 측벽의, 상기 하부 전극과 상기 상부 전극 간의 위치에 상기 기판을 반입·반출하기 위한 개폐 가능한 개구부가 설치되고, 상기 환상 부재를 상승시킨 상태로 상기 기판의 반입·반출을 행하도록 구성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 환상 부재가 절연성의 피막에 덮인 알루미늄으로 구성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  4. 삭제
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 환상 부재는 표면이 절연층으로 덮인 금속 시트로 이루어지고 가요성을 가지는 시트 케이블로 상기 하부 전극의 하부의 고주파측 라인의 접지측과 전기적으로 접속되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 환상 부재와 상기 상부 전극의 상하 이동을 행하는 구동 수단은, 전동 실린더에 의한 다축 구동인 것을 특징으로 하는 플라즈마 처리 장치.
KR1020100121294A 2009-12-03 2010-12-01 플라즈마 처리 장치 KR101977320B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009275564A JP5432686B2 (ja) 2009-12-03 2009-12-03 プラズマ処理装置
JPJP-P-2009-275564 2009-12-03

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170011849A Division KR101791991B1 (ko) 2009-12-03 2017-01-25 플라즈마 처리 장치

Publications (2)

Publication Number Publication Date
KR20110063342A KR20110063342A (ko) 2011-06-10
KR101977320B1 true KR101977320B1 (ko) 2019-05-10

Family

ID=44080852

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020100121294A KR101977320B1 (ko) 2009-12-03 2010-12-01 플라즈마 처리 장치
KR1020170011849A KR101791991B1 (ko) 2009-12-03 2017-01-25 플라즈마 처리 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170011849A KR101791991B1 (ko) 2009-12-03 2017-01-25 플라즈마 처리 장치

Country Status (5)

Country Link
US (1) US8986495B2 (ko)
JP (1) JP5432686B2 (ko)
KR (2) KR101977320B1 (ko)
CN (1) CN102142357B (ko)
TW (1) TWI497583B (ko)

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
SG11201402447TA (en) * 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US20140060739A1 (en) * 2012-08-31 2014-03-06 Rajinder Dhindsa Rf ground return in plasma processing systems and methods therefor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN105225914B (zh) * 2015-08-25 2018-01-23 沈阳拓荆科技有限公司 一种改善晶圆表面薄膜形貌的半导体等离子处理装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN111211067A (zh) * 2018-11-22 2020-05-29 东泰高科装备科技有限公司 工艺腔室和半导体处理设备
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019201A (ja) * 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111725111B (zh) * 2020-06-24 2023-08-18 北京北方华创微电子装备有限公司 半导体工艺设备的反应腔室及半导体工艺设备
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114203506B (zh) * 2020-09-18 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020076490A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Variable gas conductance control for a process chamber
JP2003133305A (ja) * 2001-10-23 2003-05-09 Tokyo Electron Ltd 基板処理装置および基板処理方法
US20040182515A1 (en) * 2003-03-19 2004-09-23 Tokyo Electron Limited Plasma processing apparatus
US20060286775A1 (en) 2005-06-21 2006-12-21 Singh Kaushal K Method for forming silicon-containing materials during a photoexcitation deposition process

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2848480C2 (de) * 1978-11-08 1984-11-08 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Aufbringen von Schichten auf Träger unter Vakuum
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
EP0254651B1 (en) * 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
EP0251764B1 (en) * 1986-06-30 1996-03-27 Nihon Sinku Gijutsu Kabushiki Kaisha Chemical vapour deposition methods and apparatus
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5456945A (en) * 1988-12-27 1995-10-10 Symetrix Corporation Method and apparatus for material deposition
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
JPH03203317A (ja) * 1989-12-29 1991-09-05 Matsushita Electric Ind Co Ltd プラズマ処理装置
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
JPH0582044U (ja) * 1992-04-07 1993-11-05 国際電気株式会社 プラズマ処理装置
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JP2662365B2 (ja) 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
JP3432636B2 (ja) * 1995-04-05 2003-08-04 東京エレクトロン株式会社 処理装置及び処理方法
US5833753A (en) * 1995-12-20 1998-11-10 Sp 3, Inc. Reactor having an array of heating filaments and a filament force regulator
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
JP3002448B1 (ja) * 1998-07-31 2000-01-24 国際電気株式会社 基板処理装置
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US20040089238A1 (en) * 1999-10-04 2004-05-13 Jerome Birnbaum Vacuum/gas phase reactor for dehydroxylation and alkylation of porous silica
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP4222707B2 (ja) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 プラズマ処理装置及び方法、ガス供給リング及び誘電体
US6666920B1 (en) * 2000-08-09 2003-12-23 Itt Manufacturing Enterprises, Inc. Gas collector for providing an even flow of gasses through a reaction chamber of an epitaxial reactor
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP4672861B2 (ja) * 2000-12-15 2011-04-20 東京エレクトロン株式会社 プラズマ処理装置
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
KR100443908B1 (ko) * 2001-10-25 2004-08-09 삼성전자주식회사 플라즈마 화학기상증착장치 및 이를 이용한나이트라이드막 형성방법
US7524532B2 (en) * 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
JP4186536B2 (ja) * 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
JP4399219B2 (ja) 2003-09-19 2010-01-13 東京エレクトロン株式会社 プラズマ処理装置及び上部電極ユニット
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
KR101033123B1 (ko) * 2004-06-30 2011-05-11 엘지디스플레이 주식회사 액정표시장치의 제조를 위한 챔버형 장치
US8282768B1 (en) * 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
JP2006344701A (ja) 2005-06-08 2006-12-21 Matsushita Electric Ind Co Ltd エッチング装置およびエッチング方法
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
EP1992007A4 (en) * 2006-03-03 2010-05-05 Prasad Gadgil APPARATUS AND METHOD FOR THIN FILM CHEMICAL PROCESSING BY MULTIPLE ATOMIC LAYER OVER AN EXTENDED AREA
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7554103B2 (en) * 2006-06-26 2009-06-30 Applied Materials, Inc. Increased tool utilization/reduction in MWBC for UV curing chamber
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US20110049100A1 (en) * 2008-01-16 2011-03-03 Charm Engineering Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5281309B2 (ja) * 2008-03-28 2013-09-04 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
EP2347030B1 (en) * 2008-10-08 2018-05-16 Abcd Technology Sarl Vapor phase deposition system
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP5591585B2 (ja) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020076490A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Variable gas conductance control for a process chamber
JP2003133305A (ja) * 2001-10-23 2003-05-09 Tokyo Electron Ltd 基板処理装置および基板処理方法
US20040182515A1 (en) * 2003-03-19 2004-09-23 Tokyo Electron Limited Plasma processing apparatus
US20060286775A1 (en) 2005-06-21 2006-12-21 Singh Kaushal K Method for forming silicon-containing materials during a photoexcitation deposition process

Also Published As

Publication number Publication date
KR101791991B1 (ko) 2017-11-01
TWI497583B (zh) 2015-08-21
US8986495B2 (en) 2015-03-24
CN102142357B (zh) 2013-05-29
US20110132542A1 (en) 2011-06-09
TW201142940A (en) 2011-12-01
JP5432686B2 (ja) 2014-03-05
CN102142357A (zh) 2011-08-03
JP2011119461A (ja) 2011-06-16
KR20110063342A (ko) 2011-06-10
KR20170015413A (ko) 2017-02-08

Similar Documents

Publication Publication Date Title
KR101791991B1 (ko) 플라즈마 처리 장치
KR101672856B1 (ko) 플라즈마 처리 장치
KR101755313B1 (ko) 플라즈마 처리 장치
US10699935B2 (en) Semiconductor manufacturing device and processing method
KR101850355B1 (ko) 플라즈마 처리 장치
KR20190005750A (ko) 플라즈마 처리 장치
JP4777790B2 (ja) プラズマ処理室用構造物、プラズマ処理室、及びプラズマ処理装置
JP4615464B2 (ja) プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
KR101892958B1 (ko) 플라즈마 처리 장치
JP2020115519A (ja) 載置台及び基板処理装置
JP5661513B2 (ja) プラズマ処理装置
US20240079216A1 (en) Apparatus for treating substrate and method for treating substrate
JP7446145B2 (ja) 基板処理装置
JP6574588B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2017101000403; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20170125

Effective date: 20190121

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)