JP2005303292A - 薄膜形成装置 - Google Patents

薄膜形成装置 Download PDF

Info

Publication number
JP2005303292A
JP2005303292A JP2005105786A JP2005105786A JP2005303292A JP 2005303292 A JP2005303292 A JP 2005303292A JP 2005105786 A JP2005105786 A JP 2005105786A JP 2005105786 A JP2005105786 A JP 2005105786A JP 2005303292 A JP2005303292 A JP 2005303292A
Authority
JP
Japan
Prior art keywords
gas
section
bore
gas supply
head surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005105786A
Other languages
English (en)
Inventor
Hiroshi Jinriki
博 神力
Jiyunichi Arami
淳一 荒見
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2005303292A publication Critical patent/JP2005303292A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】ガス分散チャンバ内の残留ガスを急速にパージすることにより、材料ガスの均一な供給を可能とし、高い生産性を達成する薄膜形成装置及び方法を与える。
【解決手段】排気可能反応チャンバに結合するよう構成されたガス供給装置は、ヘッド表面を通じてチャンバ内にガスを導入するためのガス分散ヘッドを含む。該ガス分散ヘッドはサセプタ方向へヘッド表面を通じてガスを吐出すための第1セクション及びサセプタ方向へヘッド表面を通じてガスを吐出すための第2セクションを含む。第1及び第2セクションはガス分散ヘッド内で互いに分離され、その少なくともひとつのセクションが、ヘッド表面を通過することなく対応する第2セクション内に存在するガスをそこからパージするための排気システムへ結合されている。
【選択図】図1

Description

本発明は被処理基板上に薄膜を形成する枚葉式の薄膜形成装置に関する。特に、本発明は薄膜形成装置内に設置されるよう適応されたガス供給装置に関する。
原子層成長処理用に使用される薄膜形成装置において、材料ガスはシャワーヘッドシステムを使用して均一ガス濃度で基板表面へ供給される。これに対して、層流システムでは、ガス制御器の上流及び下流のガス濃度が変化しやすい。シャワーヘッドを使って基板表面上にガスを均一に供給するために、ガスをシャワーヘッド内部に溜めた後、比較的小さいコンダクタンスを有する分散板から材料ガスを供給している。その結果、第1ガスが供給された後、残存する第1ガスは第2ガスを供給することにより排気され、その後第3ガスが供給される。第3ガスが供給される際に第1ガスがまだ残っていれば、気相化学反応により固体物質が形成される。このため、第3ガスが供給される際、分散装置内部の残留ガスが完全に排気される必要がある。特に、蒸気圧が低い材料ガスが使用される際、残留ガスを排気するために必要な排気時間は増加する。材料ガス供給システムの例として、米国特許公開2003/0143328A1に記載されているようなものがある。
米国特許公開2003/0143328A1
シャワーヘッド装置において、前駆体は、均一な濃度分布または所定の濃度分布で基板上へ供給される。均一な濃度分布を達成するために、分散板によりシャワーヘッドから基板へのコンダクタンスを制御することが必要であり、それはシャワーヘッドからの反応ガスパージの妨げとなる。少なくとも2種類の反応ガスが交互に供給されるため、反応ガスAが供給され、反応ガスAが完全にパージされた後に、反応ガスBが単独で供給される。よって、異なるガス経路を介してシャワーヘッドから均一に反応ガスA及び反応ガスBを供給するアプローチが効果的であり、反応ガスA及び反応ガスBは基板上において単独で反応する。
さらに、シャワーヘッドから反応ガスA及びBを素早くパージするための他のアプローチとして、ガスパージ中の短時間内でシャワーヘッドの内部を排気するために少なくとも一つの側面に排気口が与えられる。この方法は、シャワーヘッドにより原子層形成が邪魔される問題を解決することができる。
プラズマを使用する原子層形成(ALD)に関して、プラズマは反応ガスAには印加されず、ほとんどの場合、プラズマは活性化すべき反応ガスBへ印加される。この場合も同様に、異なるガス経路がシャワーヘッド内部に有効に設置され、プラズマは基板表面にわたって生成されるか、またはプラズマ生成用の空間はシャワーヘッド内部に形成される。低蒸気圧金属に対して、それはプラズマを生成させずに基板表面に導入され、一方有機金属材料の酸化、窒化または吸着を減少させるのに使用されるプラズマ生成用のガスは、簡単にパージされる酸素、窒素及び水素を含む。低蒸気圧金属材料のパージは速くはなく、材料が残っていれば、それがシャワーヘッド内のガスプラズマと反応するかまたは基板上に粒子を生成する。結果として、低蒸気圧金属材料が配置される部分に対して、非常に高速のガスパージが要求され、他のガスに対しては、パージは重要ではない。米国特許公開2003/0143328A1において、低蒸気圧材料ガスの供給及び他の材料ガスの供給はそれぞれの異なる経路を通じて実行されるが、低蒸気圧材料ガスは基板表面に面して配置された下部から吐出され(プラズマ生成用のガスは下部より上の上部に与えられる)、よってウエハの中心点に関して対称的にガスを分配する十分な空間が存在せず、さらにガスを有効にパージすることは困難である(別個の排気装置が与えられていない)。
上記に鑑み、ひとつの態様において、本発明は、半導体基板を載置するための支持体を具備する排気可能な反応チャンバに結合されるよう構成されたガス供給装置を与え、それは、ヘッド表面を通じてチャンバ内にガスを導入するためのガス導入ヘッドから成り、ガス導入ヘッドはヘッド表面を通じて支持体方向へガスを吐出するための第1セクション及びヘッド表面を通じて支持体方向へガスを吐出するための第2セクションから成り、当該第1及び第2セクションはガス分散ヘッド内で互いに分離されており、少なくとも一方のセクションがヘッド表面を通過しない対応するセクション内に存在するガスを排気するための排気装置と結合されている、ところの装置である。上記態様において、ガス供給装置は複数のガスラインまたは装置から成り、それらの少なくともひとつは排気装置に結合され、その結果複数のガスが高速または瞬時のパージ動作のために非常に有効に使用される。セクション内部に存在するガスは複数のボアを有するシャワーヘッド下面を通過せずにパージされる。低蒸気圧の一次材料及び低分子量の二次材料が効果的に使用される。
他の態様において、本発明は、(i)半導体基板を載置するための支持体を具備する排気可能な反応チャンバと、(ii)上記ガス供給装置と、から成る薄膜形成装置を与える。CVD装置(例えば、PECVD、熱CVD)のような薄膜形成装置内でガス供給装置を使用することにより、薄膜形成は短いサイクルで達成可能である。パージは仮想的に瞬時に実行されるので、異なるガスが混合することはない。異なるガスを交互に供給することにより、原子層または分子層ごとに薄膜を形成することができる。
本発明の他の態様において、基板上に薄膜を形成するための方法は、(i)反応チャンバの内部に基板を配置する工程と、(ii)シャワーヘッド表面を通ってシャワーヘッドの第1経路を介して反応チャンバの内部へ第1ガスを導入する工程であって、第1ガスはシャワーヘッド表面の上流側から導入され、反応チャンバの内部はシャワーヘッド面の下流側にある、ところの工程と、(iii)シャワーヘッド表面の上流側から第1経路を排気しながら、パージガスでシャワーヘッドの第1経路をパージする工程と、(iv)シャワーヘッド表面を通ってシャワーヘッドの第2経路を介して反応チャンバの内部へ第2ガスを導入する工程であって、第1経路及び第2経路はシャワーヘッド内部で互いに分離されており、それによって第1ガスと第2ガスが反応し、基板上に膜を形成することができるところの工程と、から成る。2種類以上のガスが使用されてもよい。
好適実施例において、本発明は、原子層成長処理または層毎の薄膜処理のために排気可能な反応チャンバへ結合されるよう適応されるガス供給装置を与える。当該装置は、(a)分散板と、(b)第1ガスが通過するところの第1ボアを有する第1プレートであって、第1セクションが分散板と第1プレートとの間に形成され、第1ガスが第1セクション内に導入され、第1ボアを通過するところの第1プレートと、(c)第2ガスが通過するところの第2ボアを有する第2プレートであって、第2セクションが第1プレートと第2プレートとの間に形成され、第2ガスが第2セクション内に導入され、第2ボアを通過し、第2プレートは第1ガスが通過する第3ボアを有し、第2セクションは第2ボアと連通することなく第2セクション内で各第1ボア及び各第3ボアを結合して連通させ、第1セクションまたは第2セクションの少なくともひとつは対応するボアを通過することなく対応するセクション内でガスを吐出する排気装置と結合されている、ところの第2プレートと、から成る。
さらに他の実施例において、本発明は、2種類またはそれ以上の異なるガスを交互に供給することにより原子層成長処理に使用されるシャワーヘッドが2つまたはそれ以上の別々のガス分配経路を有する点、及びシャワーヘッドの内部を排気するための手段が少なくともひとつのガス分配経路と連通する点に特徴を有する。さらに、この実施例において、プラズマがシャワーヘッドと基板表面との間かまたはシャワーヘッドの内部のいずれかで生成される。また、ひとつの態様において、プラズマが生成される際、本発明は、排気経路と連通するガス分配部分が与えられる代わりに、排気経路と連通しないガス分配部分が少なくとも基板側に与えられる点に特徴を有する。たとえ排気経路と連通しないガス分配部分が基板側に与えられても、シャワーヘッドの下流に配置された排気経路とそれを連通させることは可能である。しかし、排気経路を基板からより遠く離れた側に与えることにより、経路はより効率的になり、有利である。高圧力の下で低蒸気圧材料ガスを供給すること、及び比較的低い圧力の下でシャワーヘッド内へ低蒸気圧材料ガスを導入することは困難であるため、ガスを拡散させるための十分な容量または空間が必要である。この目的のため、ある態様において、より大きい容量を有する分散チャンバを基板から離れた側に与えることが有利である。さらに、この構造によりガスはチャンバの中心へ導入されるため、ガスはより均一に分散される。
上記したように、後混合(post-mixing)型のシャワーヘッドを使って、本発明の実施例に従う薄膜形成装置は、材料ガスを非常に均一に供給することができ、同時に、高い反応性を有する材料ガスの気相反応は、急速に開閉するバルブまたは可変コンダクタンスバルブを有する専用排気口をそれぞれのガス分散チャンバ内に設定することにより制御され、残留ガスのパージは、排気装置のコンダクタンスに依存して、例えば約10msecから約500msec(25msec、50msec、100msec、200msec、300msec及びそれらの任意の2つの範囲、好適には約50msecから約100msecを含む)の高速で完了する。従来、短時間の間にシャワーヘッド内部に残留する反応ガスを排気することは不可能であった。結果として、本発明の実施例において、パーティクル汚染がより少なくなり、従来達成できなかった高い生産性を有する均一な薄膜形成が可能となった。また、反応において、RFが一種類のガスに印加されるときにのみ、反応は化学的に活性となり、プラズマの印加を止めると反応は停止するので、一種類のガスに対してはパージの必要がない。この場合、金属材料ガスが導入される分散チャンバ内にのみ専用排気口及び排気バルブを設定することにより、薄膜は非常に効率的に形成される。
発明及び関連技術に対して達成される利点を要約するために、いくつかの発明の目的及び利点が説明されてきた。もちろん、すべての目的または利点は発明の特定の実施例に従い必ずしも達成されるものではない。したがって、発明はここに教示されまたは示唆されるような他の目的または利点を必ずしも達成することなく、ここに教示されるような利点を達成または最適化する方法で実施されることは当業者の知るところである。
本発明の他の態様、特徴及び利点は以下の好適実施例の詳細な説明から明らかと成る。
発明は特定の実施例を参照して説明されるが、これに限定されるものではない。
上記したように、本発明の実施例において、ガス供給装置は、基板を載置するための支持体を具備する排気可能反応チャンバへ結合されるよう構成され、ヘッド表面を通じてチャンバ内へガスを導入するためのガス分散ヘッドから成る。ガス分散ヘッド(例えば、シャワーヘッド)は支持体方向へヘッド表面を通じてガスを吐出するための第1セクションと、支持体方向へヘッド表面を通じてガスを吐出するための第2セクションとから成る。第1及び第2セクションはガス分散ヘッド内で互いに分離され、少なくともひとつのセクションはヘッド表面を通過せずに対応するセクション内に存在するガスを排気するための排気装置へ結合されている。ガス分散ヘッドは、各セクションから反応チャンバ内部へガスが均一に吐出される限り、3または4個など2個以上のセクションから成ってもよい。
好適実施例において、第1セクション及び第2セクションはヘッド表面に対して互いに平行に配置され、第2セクションは第1セクションよりもヘッド表面に近く、少なくとも第1セクションは排気装置へ結合されている。各セクションはヘッド表面に対して平行に配置された部分を有し、また各セクションはボアを介してヘッド表面(例えば、シャワーヘッド下側面)と連通しており、その結果各ガス吐出はヘッド表面に関してサセプタ方向へ均一に実行される。この構成において、より多くのセクションが重ねられると、ヘッド表面から離れたセクションからのガスはヘッド表面に達するまでより長く移動し、それが不均一な分散を生じさせる。実施例において、排気装置はヘッド表面から遠く離れたセクションへ結合され、それによりガスのパージが瞬時に完了し、処理速度の低下またはパーティクル汚染の増加もなく、複数のガスが同一セクションを共有することができる。
実施例において、原子層成長反応は、複数のガスの互いの物理的接触の関数として制御され(温度は敏感に制御するのが単純ではない)、その場合、ガスのパージは有効であり、各セクションは排気装置へ結合される。好適には、拡散しにくいガスが流れるところの第1セクションは、拡散しやすいガスが流れるところの第2セクションより大きな容積を有する。
拡散しにくい分子量の大きい分子を気化状態で拡散させ、同時に導入した不活性ガスと混合するには、十分に拡散混合するだけの容積が必要であり、第1セクションは第2セクションよりもより大きい容積を有している。
実施例において、第1セクションは互いに連通することなく第2セクションを通じてヘッド表面に達している。ヘッド表面は各セクションから吐出された複数のガスにより共有されるため、ヘッド表面上の任意の位置で各ガスを均一に吐出するために、第1セクション及び第2セクションをヘッド表面と平行に配置しかつ第1セクション及び第2セクションを連通することなく複数のボアを通じて各々をヘッド表面と連通させることが好適である。
低蒸気圧材料ガスのような拡散しにくいガスを使用する際、実施例においてガスの流れる方向は重要である。第1セクションが中心分散導入口及びそこから半径方向に伸張する円錐形分散板から成るなら、局所的なガス流が防止される。円錐形分散板は底板の外周付近に、底板の中心に関して対称的に配置された曲線または直線状のスリットを有する底板を有する。
上記構成は各セクションまたはひとつのセクションのみが排気装置へ結合されているか否かに無関係に適応され得る。
特に、第1セクションは拡散しにくい高分子量の分子を導入すること、またはその不活性ガスとの混合を十分に行うために、容積が大きく、さらに、この混合ガスを基板上に均一に供給するための分散構造があるので、この第1セクションに排気システムを具備させて、原料ガス導入後のパージ工程においては積極的にこの第1セクションに具備した排気口より残留する分子量の大きい原料ガスを排気するとともに、不活性ガスのみを導入することにより、この分子量の大きい原料ガスをパージすること、特に、大流量のパージガスを導入して、短時間に排出可能な排気コンダクタンスの排気口を具備することにより、短時間に排出することができるという効果がある。一方、分子量が小さく、より容易に拡散混合、またはパージによる排出が可能なガスについては、排気システムを必ずしも具備しなくとも、短時間に排気することができる。もちろん、排気システムを具備することにより、より効率よく排気することができる。
実施例において、第2セクションとヘッド表面とを連通させるボアはヘッド表面の主に中心領域に位置し、一方第1セクションとヘッド表面とを連通させるボアはヘッド表面に均一に分布する。この実施例は拡散及びパージが容易なガスに適している。好適には、第2セクションは、ガス分散ヘッド内に形成された所望の内部空間を有し、基板に反応ガスを供給するサイクルでは、該内部空間へ一方から導入されたガスがヘッド表面の中心領域に配置されたボアを通過して基板に供給され、パージサイクルではさらに内部空間のもう一方から排気システムにより反応ガス及びパージガスが排気される。その結果、反応ガス流は非常に敏感に第2セクションに導入され、かつ容易に排出されるように制御される。この場合、第2セクションは中心領域を有し、両端にパイプ状のセクションが連通している。
実施例において、第1セクションとヘッド表面とを連通させるボアは、第2セクションとヘッド表面とを連通させるボアの総開口面積より大きな総開口面積をヘッド表面上に有する。この構成において、拡散及びパージがより困難なガス(例えば、より大きい分子量を有するガス)が第1セクション及びそのボアを通じて流れ、拡散及びパージがより容易なガス(例えば、より小さい分子量を有するガス)が第2セクションを通じて流れる。同様に、第1セクションとヘッド表面とを連通させるボアは平均ボアサイズ(例えば、0.5mm、1.0mm、1.5mm、2.0mm、3.0mm、及びこれらの任意の間の範囲、好適には0.5〜2.0mmを含む0.2〜5mm)を有し、それは第2セクションとヘッド表面とを連通させるボアの平均サイズより(例えば、50%〜200%だけ)大きい(例えば、0.2mm、0.5mm、1.0mm、2.0mm、及びそれらの任意の間の範囲、好適には0.2mm〜1.0mmを含む0.1〜3.0mm)。ボアのサイズは中心から外周へ向かって変化するか、ヘッド表面全体を通じて均一である。例えば、外周付近にスリットを有する底板を有する円錐形分散板が使用される際、第1セクションは中心領域でよりサイズの大きいボア及び外周に向かってよりサイズが小さいボアを有する。また、第2セクションとヘッド表面とを連通させるボアが中心領域にもっぱら配置されれば、ボアのサイズは第1セクションとヘッド表面とを連通させるボアのサイズと同じかまたはそれより大きい。
上記のヘッド表面のボア(吐出口)の排気コンダクタンスに比較して、第1セクションまたは第2セクションに具備された排気システムの排気コンダクタンスはそれぞれ大きいことが必要である。つまり、排気システムが作動することにより、第1セクションまたは第2セクションに残留するガスは、ヘッド表面のガス吐出口を経由して排出されるよりは、この排気システムより排出される速度が著しく速くなるように考慮されている。ヘッド表面のボアの排気コンダクタンスに対する排気システムの排気コンダクタンスの大きさは、2倍から100倍、好適には3倍から50倍、さらに好適には3倍から10倍、最も好適には4倍から5倍である。これにより、パージ時間は著しく短縮される。
また、この排気システムを起動する場合には、それぞれのセクションのガス導入部より不活性ガスであるパージガスを導入し、このパージガスの排出により、原料ガスがスムースに排出されるような乱流が生じることのないガス流路となるように設計されていることが有効である。
ガス分散装置はさらにもっぱら第2セクションの内部にRF電力を印加するためのRF電源から成り、その結果RF電力は第2ガスへ印加されてプラズマを生成する。励起された第2ガスはヘッド表面から吐出され、ヘッド表面から吐出された第1ガスと反応し、それにより基板上に薄膜が形成される。実施例において、RF電源は、第2セクションから第1セクションを物理的に分離しかつ絶縁する第1セクションの底板に接続され、ヘッド表面は電気的ポテンシャルがゼロの状態に設定される。他の実施例において、RF電源は、反応チャンバの内部へRF電力を与えるようガス分散ヘッドへ接続されてもよい。RF電力の印加は敏感に制御され、たとえ第2ガスのパージが瞬時に達成されなくても、RF電力を停止させることにより第1ガスと第2ガスとの間の反応は打ち切られる。第2ガスのパージは反応チャンバの内部に存在するガスを排気するメイン排気システムにより達成される。好適には、メイン排気システムは、基板またはサセプタを包囲する環状スリットと、真空ポンプに結合されたダクトから成る。第2セクション内に存在するガスはボア及び環状スリットを通じてパージされる。
実施例において、パージガス及びプラズマ生成ガスは異なっても良く、特に、第2セクションがガス分散ヘッドへ直接結合された排気システムへ結合される場合、両者はリアクタチャンバの内部を通過せずに第2セクションから吐出される。
ある実施例において、第1セクションはソースガスライン及びパージガスラインへ接続され、第2セクションは添加ガスライン及びパージガスラインへ接続される。他の実施例において、各排気システムは別個のシステムであってもよい。ここで、ソースガスはTa[NC(CH3)2C2H5][N(CH3)2]3、Ta(OC2H5)5、Ru(EtCp)2、Ru(Cp)2、Ti[N(CH3)2]4、Ti[N(C2H5)2]4、TiCl4、HfCl4、TaF5、WF6、Hf(NMe2)4、またはHf(OtBu)4のいずれかであり、添加ガスは、H2、NH3、O2、Ar、N2、またはHeのいずれかである。
本発明の他の態様において、薄膜形成装置は、(i)基板を載置するための支持体を具備する排気可能な反応チャンバと、(ii)上記いずれかのエレメントから成るガス供給装置と、から成る。
好適実施例において、ガス分散ヘッドを排気するための排気システム及びヘッド表面と支持体との間の空間を排気するための排気システムは単一の排気ラインへ接続されかつ統合され、その結果バルブ操作によって、単一の排気ポンプを使って各セクションのパージを制御することが可能である。ある実施例において、第1セクションに結合された排気システムは、第1セクションの内部が瞬時に排気されるように、例えば直径約40mmのバルブを使用する。第2セクションの容積が第1セクションの容積より小さければ、第2セクションへ結合される排気システムは第1セクションに使用されるバルブより直径の小さいバルブを使用する。実施例において、第2セクションは縦長形状(例えば、中心領域を有するパイプ形状)を有し、その場合、第2セクションは約1/4インチだけ直径が小さい。
本発明は、基板上へ薄膜を形成するための方法へ同様に応用できる。当該方法は、(i)反応チャンバの内部に基板を配置する工程と、(ii)シャワーヘッド表面を通じてシャワーヘッドの第1経路を介して反応チャンバの内部へ第1ガスを導入する工程であって、第1ガスはシャワーヘッド表面の上流側から導入され、反応チャンバの内部はシャワーヘッド表面の下流側にある、ところの工程と、(iii)シャワーヘッド表面の上流側から第1経路を排気しながらパージガスによってシャワーヘッドの第1経路をパージする工程と、(iv)シャワーヘッド表面を通じてシャワーヘッドの第2経路を介して反応チャンバの内部へ第2ガスを導入する工程であって、第1経路及び第2経路はシャワーヘッド内部で互いに分離され、それによって第1ガス及び第2ガスが反応し、基板上に薄膜が形成される、ところの工程と、から成る。この方法は以下の実施例を含むがこれに限定されるものではない。
該方法はさらに、(v)シャワーヘッド表面の上流側から第2経路を排気しながらパージガスによりシャワーヘッドの第2経路をパージする工程を含む。工程(ii)から(v)は1サイクルを構成し、繰り返される。第1ガスの代わりに第3ガスが第1ガスと交互に使用されてもよい。工程(iv)において、第2ガスはシャワーヘッドの中心領域から導入される。反応チャンバの内部はシャワーヘッド表面の下流から絶えず排気される。方法はさらに、(vi)シャワーヘッド表面の下流から反応チャンバの内部を排気しながらパージガスにより反応チャンバの内部をパージする工程を含む。方法はさらに、シャワーヘッド内の第2経路へ流入した際に第2ガスへRF電力を印加する工程を含む。方法はさらに、反応チャンバの内部へRF電力を印加する工程を含む。上記において、実施例中の任意の工程は入れ替えて他の実施例に適用することができる。
好適には、当該方法は原子層成長処理(例えば、一つの層の厚さは1原子である)に使用されるが、概して層毎の薄膜処理に応用可能である。原子層成長処理において、1サイクルは原子の形成速度、例えば分子サイズにもよるが充満速度及びパージ速度により制御される。前のガスが十分にパージされなければ、現在のガスは基板の上流側の反応チャンバの内部で前のガスと反応し、所望のものより不必要に厚くかつ非原子層成長により形成された層を含む原子層が生成される。本発明の実施例において、ガスのパージは瞬時に達成されるため、1サイクルは大幅に短縮される。ガスの種類及びその分子サイズによるが、ある実施例において、パージは約0.1秒で完了し、それに対してガス導入は約0.1〜約1.0秒で完了する。また、ある実施例において、RF電力をプラズマ生成ガスへ印加する際、プラズマ生成ガスの導入後RF電力が約0.1秒から約0.3秒生起され(真空圧力を安定化させるため)、RF電力が約0.1秒から0.3秒の間印加される。
本発明の他の態様において、ガス供給装置は原子層成長処理用の排気可能反応チャンバへ結合されるよう適応され、当該装置は、(a)分散板、(b)第1ガスが通過する第1ボアを有する第1プレートであって、第1セクションが該分散板と第1プレートとの間に形成され、第1ガスが第1セクション内に導入されかつ第1ボアを通過するところの第1プレートと、(c)第2ガスが通過する第2ボアを有する第2プレートであって、第2セクションが第1プレートと第2プレートとの間に形成され、第2ガスが第2セクション内に導入されかつ第2ボアを通過し、第2プレートは第1ガスが通過する第3ボアを有し、第2セクションは第2ボアと連通せずに各第1ボアと各第3ボアを結合するコネクタを具備し、第1セクションまたは第2セクションの少なくともひとつは対応するボアを通過することなく対応するセクション内にガスを吐出する排気システムへ接続されている、ところの第2プレートと、から成る。ガス供給装置は以下の実施例を含むがこれに限定されるものではない。
第1プレート及び第2プレートは互いに平行に配置されてもよく、分散板は円錐形でもよい。分散板は第1ガスを第1セクション内へ導入するための、分散板の中心領域に配置された第1ガス導入口を具備する。第2ガスセクションは第2セクションの外周付近に配置された第2ガス導入口を具備してもよい。第1セクションは排気システムへ結合され、第1セクション内に存在する第1ガスは分散板の外周付近から排気される。第2セクションは排気システムに結合され、第2セクション内に存在する第2ガスが第2セクションの外周付近に配置された第2ガス排気口を通じて排気される。第2ボアは第2プレートの主に中心領域内に配置される。第1ボアは第1プレート上に均一に分布し、第3ボアは各第1ボアのすぐ下に配置される。第3ボアは第2ボアより大きい総開口面積を有する。第3ボアは第2ボアより大きい平均ボアサイズを有する。第2セクションは排気システムへ結合され、第2セクションの外周付近に第2ガス導入口及び第2ガス排気口を具備し、第2セクションは第2ボアを有する中心領域を介して導入口から排気口へ縦長形状を有する。
上記において、実施例の任意のエレメントは他の実施例のエレメントと交換可能である。
本発明は図面を参照してさらに詳細に説明されるが、これに限定されるものではない。
図1は本発明の実施例に従う薄膜形成装置の構造を示す。薄膜形成装置は被処理体である半導体基板をゲートバルブ200を通じて真空搬送チャンバ(図示せず)から反応チャンバ1へ搬入し、反応チャンバ1内部で薄膜形成処理を実行する。搬入された基板14はサセプタ2上に載置され、反応チャンバの内側はバルブ27またはゲートバルブ28を通じてターボ分子ポンプ(TMP)29により排気される。この後、基板サセプタ2はベローズ140を使って上昇し、シャワープレート4から最適な距離に配置される。反応ガスがシャワープレートから供給された後、基板14の表面に供給され、排気ダクト13を介して吐出されるように、設計されている。このとき、バルブ31を開いて不活性ガスを供給することにより、シャワープレート4からベローズのある搬送チャンバ側へ供給される反応ガスの拡散は防止される。
反応チャンバは、排気ダクト3、シャワープレート4及び上蓋13(シャワープレート4と上蓋13との間にはガス分配ノズル11が存在する)並びに、ガス導管10と連通するガス分散ガイド8の多層構造から成る。
ガス分散ノズル11は図2に示す構造を有する(実際の比率及びサイズと符合していない)。すなわち、ノズル11は側面及び底面にガスが通過する複数の開口を有する。ガスガイド8が底板の外周に向かって開口またはスリットを有する底板を有するとき、ガスはノズルからスリットの方へ横に流れ、その後複数の穴またはボアを有するシャワープレート表面上へ流れる。
また、ガス分散ガイド8に沿って、分散部を排気するために使用される排気バルブ9が結合される。付加的に、ガス導入部5、ガス導入バルブ24、及びガス排気用に使用されるバルブ32がシャワープレート4へ結合されている。パージに使用するための不活性ガスを導入するのに使用されるバルブ23もまたシャワープレート4へ結合されている。不活性ガスを導入するのに使用されるガス導入バルブ21及びバルブ20がガス導管10へ結合されている。材料ガスはバルブ21から導入される。付加的に、大量の不活性ガスを導入するために使用されるバルブ22が形成される。
バルブ21からガス導管10へ導入され、ガス分散ノズル11により分散され、ガス分散ガイドに沿って通過した後、ガスAはシャワープレート4を貫通するガス吐出口12を通過し、基板14上へ供給される。ガスバルブ24から供給されたガスBはシャワープレート4内部に設置されたガス導入部5を介してガス分散チャンバ7内部に拡散され、ガス吐出口6から基板14上へ供給される。付加的に、基板14上に供給されたガスは排気ダクト3、排気バルブ25及び圧力制御器(APC)26を介して真空ポンプ30により排気される。
反応ガスパージにおいて、不活性ガスがガスバルブ20及び22から導入され、その後バルブ9が開放され、残留ガスAは排気バルブ9から排気される。このとき、ガスは排気バルブ9とともに排気ダクト3からも排気されるが、バルブ9からの排気コンダクタンスレベルがそれ以外(例えば、シャワープレート内部)より一桁高いように設計されているので、ほとんどの残留ガスは排気バルブ9を介して吐出される。実施例において、バルブ9のコンダクタンスはシャワープレートの内部よりも3〜100倍、好適には10〜50倍である。ガスバルブ24から供給されるガスBがパージされるとき、不活性ガスが同様にバルブ23から導入され、排気バルブ32が残留ガスを吐出すよう開放される。このとき、排気ダクト3を介してガス吐出口6から排気されるガスが存在し得るが、排気バルブ32のガス吐出コンダクタンスがより大きいためほとんどのガスは排気バルブ32を通じて吐出される。実施例において、排気バルブ32のコンダクタンスはシャワープレート内部の3〜50倍、好適には5〜30倍である。
上記機能を使って、反応ガスA及びBが交互に供給されるところの原子層成長処理、または層毎の薄膜形成処理に最適な処理装置が与えられる。
図4はガス供給装置の実施例を詳細に示す略示断面図である。ここには、基板加熱支持体またはサセプタ及びすべてのガスバルブが示されていない。低蒸気圧材料ガスのような第1ガスがガスライン121を通じて中央ガス管110へ導入される。その後第1ガスはガス分散板111を通じてガスガイド108の第1室82内へ導入される。第1室82はスリットを有する底板を有し、第1ガスはそのスリットを通過し、複数のボア112を有する上部シャワープレート141の上面の上にある第2室81へ流れ込む。第1室82及び第2室81は第1セクションを構成する。第1室82が底板を有しないとき、第1室82と第2室81との間にはっきりとした境界は存在しない。その後、第1ガスは下部シャワープレート140内に形成されたボア112及び114を通じて反応チャンバ1の内部41へ吐出される。ボア112及び114は位置合わせされて結合される。上記処理中、内部41は環状スリット40を通じて排気ダクト103を使って絶えず排気され、ガスは内部41の外周方向へ半径方向に引かれる。
ガスガイド108は、その上に絶縁板150が配置される上蓋プレート113を介して上部シャワープレート141へ固定される。蓋プレート113及びガスガイド108は別々の部材である必要はないが、可能なら単一部材で作られる。ガスガイド108及び上部シャワープレート141は互いに直接接触せず、環状ギャップ83がガスガイド108の外周に沿って形成されている。この環状ギャップ83は上蓋プレート113を通じて排気バルブ109(図示せず)へ結合される。
第1セクション81、82をパージする際、パージガスがガスライン120、中央ガス管110、及びガス分散板111を通じて導入される。同時に、第1セクションは環状ギャップ83を通じて排気バルブ109を使って排気される。内部41はスリット40及び排気ダクト103を通じて絶えず排気されるが、排気バルブ109のコンダクタンスはスリットより非常に大きいため、第1セクション内に存在するガスは主に排気バルブ109の方向へ瞬時に引かれる。したがって、第1セクションのパージは瞬時に完了し、内部41のパージもまた排気バルブ109及びスリット40を使って瞬時に完了する。
第2ガスはガス供給口105から第2セクション107へ導入される。第2セクション107は第1セクション81、82と連通していない。複数のボア112は、第2セクション107と連通していない下部シャワープレート140内に形成されたボア114に結合されている。第2ガスはボア106を通じてチャンバ1の内部41へ吐出される。第2セクション107のパージは、ガス供給口105より大きいガス経路を有し、そのためコンダクタンスがスリット40より大きい排気経路170を使って達成される。第2セクション107のパージは排気経路170を使って瞬時に達成される。
実施例において、上部シャワープレート141のボアの数は制限されないが、50〜2000個であり、好適には500〜1500個である。下部シャワープレート140のボアの数は制限されないが、5〜2000個であり、好適には10〜1000個、及びセクションが縦長の実施例では好適に10〜100個である。概して、ボア112の数はボア106の数より多い。ボア114の数はボア112の数と等しくてもよいが、1個のボア112あたり2個またはそれ以上のボア114を使用することが可能である。
図3は図1(図4)に示される構造を使用するガス導入シーケンスを示すテーブルである。反応ガスA及びBを使用する処理工程が示されている。基本シーケンスとしてガスA及びBが交互に導入される際の典型的なバルブ動作が示されている。
図5は本発明の実施例に従う薄膜形成装置の断面構造を示す。図1との違いは、この装置がさらにRFプラズマ生成用の構造を含む点である。図7には、本発明の他の実施例に従う薄膜形成装置の断面構造が示されている。図5との違いは、この装置はRFプラズマがガス分散チャンバ内部で生成されるという点に特徴を有することである。付加的に、ガス分散チャンバ内部のガスが排気されるところのバルブは金属材料ガスが分散されるチャンバに対してのみ設置され、プラズマが生成されるところの分散チャンバ内には設置されない。
図5に示される装置において、RF導入端子32がシャワープレート4’内に装着され、サセプタ2の電気的ポテンシャルはゼロに設定され、その結果、プラズマはシャワープレートとサセプタ(基板)との間のチャンバの内部に生成される。他の構造は図1に示された装置と同じである。
図7に示される装置において、RF導入端子35は、接地34されかつ絶縁リング33によりシャワープレート4’’の下部から分離されたシャワープレート4’’内に装着され、その結果プラズマはシャワープレート(第2セクション7’)内で生成される。この実施例において、反応(ガスの励起)はRF電力の導入により制御されるため、たとえガスが第2セクション内に残っていても第2セクションのパージは重要ではない(第2ガスがプラズマにより励起されなければ、第1ガスは第2ガスと反応しない)。よって、この実施例において、シャワープレート4’’は第2セクションへ結合された排気経路を有しない。第2ガスはガス供給口5’を通じて第2セクションへ導入され、ボア6を通じて排気ダクト3へ吐出される。また、この実施例において、第1セクションは図1より大きい容積を有し、その結果第1ガスはシャワープレートからより均一に吐出されかつ励起された第2ガスと反応し、それによって基板上に薄膜が形成される。他の構造は図1に示された装置と同じである。
図6は図5に示された構造を使用したガス導入シーケンスを示すテーブルである。反応ガスA及びBを使った処理工程が示されている。ガスA及びBがベースシーケンスとして交互に導入される際の典型的なバルブ動作及びプラズマ発生状態が示されている。
図8〜10は本発明の他の実施例を示す。図8は上部シャワープレート141’と下部シャワープレート140’とから成るシャワープレートを示し、それらの間にはガス供給口105’及び排気経路170’が形成されている。上部シャワープレート141’の上面は第1セクションを構成し、下部シャワープレート140’の下面は反応チャンバの内部を構成する。第2セクションが上部シャワープレート141’と下部シャワープレート140’との間に形成される。第1セクションとチャンバ内部は互いに、上部シャワープレート内に形成されたボア112’及び下部シャワープレート140’内に形成されたボア114’を通じて連通している。ボア112’及びボア114’は互いに連通し、第1セクションからチャンバの内部へ向かう連続経路を形成する。ボア112’及び114’は、上部シャワープレート141’の上面及び下部シャワープレート140’の下面にそれぞれ均一に分布する。対照的に、第2セクションとチャンバ内部とは互いにボア106’を通じて連通し、それは下部シャワープレート140’のもっぱら中心領域において下部シャワープレート140’内に形成されている。
図10は、サイズがmmの単位で示されたボア106’の実施例(下部シャワープレートの部分底面図)を示す。この実施例において、12.99mmの半径を有する円に沿って一定間隔で12個のボアが配置されている。ボアの直径は0.5mmである。ボア114’は下部シャワープレートの下面に均一に形成され、第2セクション107’により包囲される中心部を含む。第2セクション107’はボア114’と実質的に干渉することなく配置され、その結果第1ガスは第2セクションと無関係に下部シャワープレートから均一に吐出される。さらに、ガスの流れをスムースにするために、角が丸められても良い(R6、R2、R2)。
図9は、シャワープレートに付加するガス供給構造体の略示図である。この略示図は細部を省略しており、縮尺及びサイズは正確ではない。また、アライメント、ギャップ及びクリアランスは正確ではない。この実施例において、WF6のような第1ガスが、バルブ210を介して、中心ガスパイプ110を通じてガスガイド108’の内側面に沿って第1セクション81’内に導入される。その後WF6はボア112’及び114’を通じて下部シャワープレート140’の下面の下側にあるチャンバの内部へ吐出される。第1セクション81’をパージする際、バルブ20’を介して中心ガスパイプ110を通じて第1セクション内へアルゴン(Ar)が導入される。ガスは環状ギャップ83’及びバルブ109を通じて第1セクションからパージされる。テトラエチルボロン(TEB)がバルブ21を介し、中心ガスパイプ110を通じて、ガスガイド108’の内側面に沿って第1セクション81’内へ導入される。その後TEBはボア112’及び114’を通じて下部シャワープレート140’の下面の下側にあるチャンバ内部へ吐出される。第1セクション81’をパージする際、Arがバルブ20を介して中心ガスパイプ110を通じて第1セクション内へ導入される。ガスは環状ギャップ83’及びバルブ109を通じて第1セクションからパージされる。NH3がバルブ24を介してガス供給口105’を通じて第2セクション107’内へ導入される。その後NH3はボア106’を通じてシャワープレート140’の下面の下側にあるチャンバ内部へ吐出される。第2セクション107’をパージする際、Arがバルブ23を介してガス供給口105’を通じて第2セクション内へ導入される。ガスは排気経路170’及びバルブ132を通じて第2セクションからパージされる。
処理例
特定の実施例が以下に示されるが本発明はこれに限定されるものではない。
実施例1
図1に示される構造を有する処理装置を使って特定の処理を実行する例が示される。材料ガスとして塩化チタン(TiCl4)及びアンモニア(NH3)を使用してTiN膜を形成する処理が示されている。シリコン基板が真空搬送チャンバ(図示せず)から反応チャンバ1へ搬入された後、残留する水分、酸素等はターボポンプ29によって十分に排気される。基板は基板サセプタ2の上下機構により所定の位置まで移動される。このとき、シャワーヘッドプレート4と基板表面との間のギャップは約2mmから約8mmの範囲に設定される。この例では、ギャップが5mmに設定されて処理が実行された。
図3に示されるテーブルは処理シーケンスを示す。反応チャンバは排気ダクト3から排気される。このとき、基板サセプタ2と排気ダクトとの間隔を狭くすることにより、反応ガスはほとんどが排気ダクト3から排気される。基板サセプタ2及び排気ダクト3を接触させると間隔は無くなる。しかし、この例において、基板サセプタと排気ダクト3との間隔を狭めることにより、及び導入すべき窒素の量を制御して搬送空間内の圧力を制御することにより、搬送チャンバ側へ進入する反応ガスの量は制御可能である。基板サセプタの温度は320℃(100℃から700℃、好適には250℃から450℃)に維持される。
テーブルに示されるように、工程1で、500sccmのArガスがバルブ20及びバルブ23から供給される。処理の開始時に、この状態で約30秒間反応チャンバがバルブ25を通じて排気された後、処理が開始される。バルブ21を開くことにより、TiCl4ガスが所定の時間の間供給される。通常、材料ガスは約0.1秒から約0.2秒間供給される。工程3において、バルブ21を閉じると同時に、ガスはバルブ9を全開することによりシャワーヘッド側から排気される。ほぼ同時にバルブ22を開くことにより、約2000sccmのパージガスが導入される。パージガスは約0.1秒間供給され、ガス分散ガイド8が設けられたガス分散チャンバ内部に残ったTiCl4ガスがガス分散ノズル11及びガス導管10から排気され、バルブ22が閉じられ、その後バルブ9が閉じられる。
次の工程において、バルブ24が開かれ、1000sccmのNH3ガスが導入される。通常、約0.1秒から0.3秒間ガスが供給された後、バルブ24が閉じられる。ほぼ同時にバルブ32が開かれ、分散部分7内部のガスが排気される。ほぼ同時にバルブ23からのArガス流量を2000sccmまで増加させることにより、分散部分7の内部はより速く排気される。
次の工程において、方法は、TiCl4ガスが導入される第1工程に戻り、目標の膜厚に従い所定の回数だけ工程を繰り返すことにより、膜形成が達成される。本発明に従う方法を使用して、TiCl4ガスは均一な表面密度でシャワーヘッド内部から供給され、後混合型のシャワーヘッド内で、それぞれのガス分散部分に排気口及び排気バルブが与えられることで、シャワープレートを介して分散チャンバから材料ガスを排気するためのパージが約1桁速い速度で達成される。その結果、一つのサイクルに必要な時間が短縮され、同時に気相反応が制御される。この場合の効果はシャワーヘッドへ接続された排気部分の排気コンダクタンスに依存する。しかし、シャワーヘッドの各ガス分散部分の排気コンダクタンスをシャワープレートのガス吐出部分の排気コンダクタンスと比べ約1桁またはそれ以上のレベルに設定することにより、残留ガスは非常に効果的に排気された。
この例において、直径1mmの847個のガス吐出口12が与えられ、プレートの厚さは30mmであり、直径0.5mmの847個のガス吐出口6が与えられ、プレートの厚さは10mmである。それに対応して、直径40mmの排気ダクトが使用されるため、排気バルブのコンダクタンスは大きい。この場合、排気バルブが使用されなければ、材料ガスがシャワーヘッドのプレートからのみパージされるのに約2.5倍のパージ時間を要する。材料ガスが排気バルブから排気されるとき、パージは0.1から0.05秒で完了する。
本発明の実施例に従う薄膜形成装置を使って、TiCl4及びNH3は後混合構造を具備するシャワーヘッド内に与えられる排気バルブから非常に効率的に吐出され、シャワーヘッド内部の薄膜形成は制御され、TiN膜が非常に高い生産性で形成される。類似の効果は材料ガスとしてWF6及びNH3、WF6及びTEB及びNH3、等を使って達成される。特に、WF6及びTEB及びNH3の場合、シャワーヘッドの一方からTEB及びNH3を導入しながら、WF6とNH3との反応が制御される間に原子層成長が効果的に達成される。
例2
この例において、有機金属材料のTa及び材料ガスとしてのNH3を含む、ターシャリーアミルイミドトリス(ジメチルアミド)タンタル:TaN(C4H9)(NC2H6)3を使った窒化タンタル膜形成処理が示されている。図5に示される装置は端子32を通じてRF電力が図1に示される装置に印加される構造を有し、その結果RF電力はシャワープレート4’へ印加される。この場合、基板サセプタは接地され、RF電力はシャワープレート側へ印加される。シリコン基板が真空搬送チャンバ(図示せず)から反応チャンバ1へ搬入された後、残留する水分、酸素等はターボポンプ29によって完全に排気される。基板は基板サセプタ2の上下機構により所定位置まで移動される。このとき、分散板4と基板面との間のギャップは約2mmから約8mmへ設定される。この例において、ギャップは5mmに設定されて処理が実行された。
処理シーケンスは図6に示されたテーブルに示されている。反応チャンバ内のガス等は排気ダクト3から排気される。このとき、基板サセプタ2と排気ダクト3との間隔を狭くすることにより、反応ガスのほとんどは排気ダクト3から排気される。間隔は基板サセプタ2と排気ダクト3を接触させると無くなる。しかし、この例では、基板サセプタ2と排気ダクト3との間隔を狭くし、窒素ガスの導入量を制御して搬送空間内部の圧力を制御することによって、搬送チャンバ側に流入する反応ガスの量を制御することができる。基板サセプタの温度は320℃(100℃から700℃、好適には250℃から450℃)に維持される。
テーブルに示されるように、工程1において、500sccmのArガスがバルブ20及びバルブ23から供給される。処理の始めに、反応チャンバがこの状態で約30秒間排気された後、処理が開始される。バルブ21を開くことにより、TaMOガスが所定の時間の間供給される。通常、材料ガスは約0.1秒から約0.2秒間供給される。工程3において、バルブ21を閉じると同時に、ガスはバルブ9を全開することによりシャワーヘッド側から排気される。バルブ22をほぼ同時に開くことにより、約2000sccmのパージガスが導入される。パージガスは約0.1秒間供給され、ガス分散ガイド8を具備するガス分散チャンバ内に残留するTaMOガスはガス分散ノズル11及びガス導管10から排気され、その後バルブ22が閉じられ、バルブ9が閉じられる。
次の工程において、バルブ24が開かれて、1000sccmのN2/H2混合ガスが導入される。約0.1秒から0.3秒後、約0.1秒から0.3秒間プラズマが通常に生成され、バルブ24が閉じられる。ほぼ同時に、バルブ32が開かれ、分散部部7内部のガスが排気される。ほぼ同時に、バルブ23からのArガス流量を2000sccmまで増加させることにより、分散部分7の内部がより速く排気される。
次の工程において、方法はTaMOガス導入工程である第1工程に戻り、目標の膜厚にしたがって所定回数だけ工程を繰り返すことにより、膜形成が達成される。
本発明のこの実施例に従う方法を使って、TaMOガスは均一な表面密度でシャワーヘッドから供給され、後混合型のシャワーヘッド内に、それぞれのガス分散部分に排気口及び排気バルブを与えることで、シャワープレートを介して分散チャンバから材料ガスを排気するためのパージが約1桁速く達成される。その結果、気相反応が制御されながら、1サイクルに必要な時間が短縮される。この場合の効果は、シャワーヘッドへ結合された排気部分の排気コンダクタンスに依存する。しかし、シャワーヘッドの各分散部分の排気コンダクタンスレベルをシャワープレートのガス吐出口の排気コンダクタンスに比べ約1桁またはそれ以上の大きさ設定することにより、残留ガスを非常に効率的に排気することが可能である。
この例において、直径1mmの847個のガス吐出口12が設けられ、プレートの厚さは30mmであり、直径0.5mmの847個のガス吐出口6が設けられ、プレートの厚さは10mmである。対応して、直径40mmの排気ダクトが使用されるため、排気バルブのコンダクタンスは大きい。この場合、排気バルブが使用されなければ、材料ガスはシャワーヘッドのプレートからのみパージされ約2.5倍以上のパージ時間が必要になる。材料ガスが排気バルブから排気されるとき、パージは0.1から0.05秒で完了する。
本発明のこの実施例に従う薄膜形成装置を使って、TaMOガス及びN2/H2混合ガスは後混合構造を具備するシャワーヘッド内に設置された排気バルブから非常に効率的に吐出され、シャワーヘッド内の薄膜形成は制御可能であり、TaN膜は非常に高い生産性で形成可能となった。材料ガスとしてWF6及びN2/H2、WF6及びTEB及びN2/Ar、等を使用しても同様な効果が達成できる。特に、WF6及びTEB及びN2/Arの場合には、シャワーヘッドの一方側からTEB及びN2/Arを導入しかつN2/Arが供給されたときのみプラズマを印加することにより、薄膜が効率的に形成される。特に、N2/H2またはN2/Arの場合には、プラズマの印加が停止されていればそれらは反応しないためこれらのガスのパージは必要ではなく、よってパージ時間は短縮される。結果として、この場合、シャワーヘッドの排気口をTaMOガス側にのみ設けることも可能である。しかし、TEB及びN2/Arガスが同じシャワーヘッド側から供給されるなら、スループットを改善するために両方の分散部分にシャワーヘッドの排気部分を設けることは有効である。この例において、シャワープレートとサセプタ上に載置された基板との間にRFプラズマが生成されるように設計されている。図7に示されるように、言うまでもなく、絶縁リング33を挿入しかつシャワープレートを接地34することにより、ひとつの分散チャンバ内にプラズマが生成されるように構成することが可能である。この場合、N2/H2またはN2/Arプラズマはシャワーヘッド分散チャンバ内部に生成されかつシャワーヘッドから基板へ供給され、薄膜が形成される。
本発明の思想から離れることなくさまざまな変更及び修正が可能であることは当業者の知るところである。したがって、本発明の形式は例示に過ぎず、本発明の態様を限定するものではない。
図1は、本発明の実施例に従う薄膜形成装置の略示図である。 図2は、本発明の実施例で使用可能なガス分散板の略示図である。 図3は、本発明の実施例で原子層成長のためのバルブ動作を示すチャート図である。 図4は、本発明の実施例に従うガス供給装置を示す断面略示図である。 図5は、RF電力がシャワーヘッドとサセプタとの間に印加されるところの、本発明に従う薄膜形成装置を示す略示図である。 図6は、本発明の実施例で原子層成長のためのバルブ動作を示すチャート図である。 図7は、RF電力がシャワーヘッドに印加されるところの、本発明の実施例に従う薄膜形成装置の略示図である。 図8は、本発明の実施例に従う、楕円で囲まれた中心領域内により少ないボアを有するシャワーヘッド板を示す断面略示図である。 図9は、本発明の実施例に従うシャワーヘッドを示す断面略示図である。 図10は、本発明の実施例に従うシャワーヘッドプレートの部分底面図である。

Claims (44)

  1. 基板を載置するためのサセプタを具備する排気可能反応チャンバへ結合されるよう構成されたガス供給装置であって、
    ヘッド表面を通じてチャンバ内にガスを導入するためのガス分散ヘッドであって、支持体方向へヘッド表面を通じてガスを吐出すための第1セクションと、支持体方向へヘッド表面を通じてガスを吐出すための第2セクションとから成り、前記第1及び第2セクションはガス分散ヘッド内部で互いに分離され、少なくともひとつのセクションがヘッド表面を通過することなく対応するセクション内部に存在するガスをそこからパージするための排気システムと結合されている、ところのガス分散ヘッドから成る装置。
  2. 請求項1に記載のガス供給装置であって、第1セクションと第2セクションはヘッド表面に対し互いに平行に配置され、前記第2セクションは第1セクションよりもヘッド表面に近く、少なくとも第1セクションが排気システムに結合されている、ところの装置。
  3. 請求項1に記載のガス供給装置であって、第1セクションと第2セクションはヘッド表面に対し互いに平行に配置され、前記第2セクションは第1セクションよりもヘッド表面に近く、第2セクションが排気システムに結合されている、ところの装置。
  4. 請求項1に記載のガス供給装置であって、第1セクション及び第2セクションの両方がそれぞれ排気システムに結合されている、ところの装置。
  5. 請求項1から4のいずれかに記載のガス供給装置であって、前記排気システムの排気コンダクタンスは対応するヘッド表面自体の排気コンダクタンスよりも3〜100倍大きいことを特徴とする、ところの装置。
  6. 請求項2に記載のガス供給装置であって、第1セクションは第2セクションよりも大きい容積を有する、ところの装置。
  7. 請求項2に記載のガス供給装置であって、第1セクションは互いに連通することなく第2セクションを貫通してヘッド表面に達している、ところの装置。
  8. 請求項7に記載のガス供給装置であって、第1セクション及び第2セクションは複数のボアを通じてヘッド表面と連通する、ところの装置。
  9. 請求項2に記載のガス供給装置であって、第1セクションは中心分散ガス導入口及びそこから半径方向に伸張する円錐形分散板から成る、ところの装置。
  10. 請求項4に記載のガス供給装置であって、第1セクション及び第2セクションはヘッド表面に対して互いに平行に配置され、それぞれ別々にボアを通じてヘッド表面と連通し、前記第2セクションは第1セクションよりもヘッド表面に近い、ところの装置。
  11. 請求項10に記載のガス供給装置であって、第2セクションとヘッド表面とを連通させるボアはヘッド表面の主に中心領域に配置されているが、第1セクションとヘッド表面とを連通させるボアはヘッド表面に均一に分布している、ところの装置。
  12. 請求項11に記載のガス供給装置であって、第2セクションは、ガス分散ヘッド内に形成された内部空間を有し、前記内部空間へ一方から導入されたガスが前記ヘッド表面の中心領域に配置された前記ボアを通過し、さらに前記内部空間のもう一方から前記排気システムにより排気されることを特徴とする、ところの装置。
  13. 請求項10に記載のガス供給装置であって、第1セクションとヘッド表面とを連通させるボアの総開口面積は、第2セクションとヘッド表面とを連通させるボアの総開口面積よりも大きい、ところの装置。
  14. 請求項10に記載のガス供給装置であって、第1セクションとヘッド表面とを連通させるボアの平均ボアサイズは、第2セクションとヘッド表面とを連通させるボアの平均ボアサイズより大きい、ところの装置。
  15. 請求項2に記載のガス供給装置であって、さらに、RF電力をもっぱら第2セクションの内部へ印加するためのRF電源を含む、ところの装置。
  16. 請求項15に記載のガス供給装置であって、RF電源が第1セクションと第2セクションを物理的に分離しかつ絶縁する第1セクションの底板に結合されており、ヘッド表面が接地されている、ところの装置。
  17. 請求項1に記載のガス供給装置であって、さらに、反応チャンバの内部へRF電力を印加するためにガス分散ヘッドへ接続されたRF電源を含む、ところの装置。
  18. 請求項2または4に記載のガス供給装置であって、第1セクションはソースガスライン及びパージガスラインに接続され、第2セクションは添加ガスライン及びパージガスラインに接続されている、ところの装置。
  19. 請求項18に記載のガス供給装置であって、前記ソースガスはTa[NC(CH3)2C2H5][N(CH3)2]3、Ta(OC2H5)5、Ru(EtCp)2、Ru(Cp)2、Ti[N(CH3)2]4、Ti[N(C2H5)2]4、TiCl4、HfCl4、TaF5、WF6、Hf(NMe2)4、またはHf(OtBu)4のいずれかであり、前記添加ガスは、H2、NH3、O2、Ar、N2、またはHeのいずれかである、ところの装置。
  20. 請求項15から17のいずれかに記載のガス供給装置であって、前記第1セクションはソースガスラインに接続され、前記第2セクションは添加ガスラインに接続されている、ところの装置。
  21. 請求項20に記載のガス供給装置であって、前記ソースガスはTa[NC(CH3)2C2H5][N(CH3)2]3、Ta(OC2H5)5、Ru(EtCp)2、Ru(Cp)2、Ti[N(CH3)2]4、Ti[N(C2H5)2]4、TiCl4、HfCl4、TaF5、WF6、Hf(NMe2)4、またはHf(OtBu)4のいずれかであり、前記添加ガスは、H2、NH3、O2、Ar、N2、またはHeのいずれかである、ところの装置。
  22. 薄膜形成装置であって、
    基板を載置するための支持体を具備する排気可能な反応チャンバと、
    請求項1に記載のガス供給装置と、
    から成る薄膜形成装置。
  23. 請求項22に記載の薄膜形成装置であって、ヘッド表面と支持体との間の空間は排気システムに結合されている、ところの薄膜形成装置。
  24. 請求項23に記載の薄膜形成装置であって、ガス分散ヘッドを排気するための排気システム及びヘッド表面と支持体との間の空間を排気するための排気システムは単一の排気ラインに接続され統合されている、ところの薄膜形成装置。
  25. 基板上に薄膜を形成するための方法であって、
    (i)反応チャンバの内部に基板を配置する工程と、
    (ii)シャワーヘッド表面を通じてシャワーヘッドの第1経路を介し反応チャンバの内部へ第1ガスを導入する工程であって、前記第1ガスはシャワーヘッド表面の上流側から導入され、前記反応チャンバの内部はシャワーヘッド表面の下流側にある、ところの工程と、
    (iii)シャワーヘッド表面の上流側から第1経路を排気しながら、パージガスによりシャワーヘッドの第1経路をパージする工程と、
    (iv)シャワーヘッド表面を通じてシャワーヘッドの第2経路を介して反応チャンバの内部へ第2ガスを導入する工程であって、前記第1経路及び第2経路はシャワーヘッド内で互いに分離され、それによって第1ガス及び第2ガスは反応し、基板上に薄膜が形成される、ところの工程と、
    から成る方法。
  26. 請求項25に記載の方法であって、さらに、(v)シャワーヘッド表面の上流側から第2経路を排気しながら、パージガスによりシャワーヘッドの第2経路をパージする工程、を含む方法。
  27. 請求項26に記載の方法であって、工程(ii)から(v)がひとつのサイクルを構成し、それが繰り返される、ところの方法。
  28. 請求項27に記載の方法であって、第1に代わって第3ガスが第1ガスと交互に使用される、ところの方法。
  29. 請求項25に記載の方法であって、工程(iv)において、第2ガスがシャワーヘッドの中心領域から導入される、ところの方法。
  30. 請求項25に記載の方法であって、反応チャンバの内部はシャワーヘッド表面の下流から絶えず排気される、ところの方法。
  31. 請求項25に記載の方法であって、さらに、シャワーヘッド表面の下流から反応チャンバの内部を排気しながら、パージガスによって反応チャンバの内部をパージする工程を含む、ところの方法。
  32. 請求項25に記載の方法であって、さらに、シャワーヘッド内の第2経路に流入する際、第2ガスにRF電力を印加する工程を含む、ところの方法。
  33. 請求項25に記載の方法であって、さらに、反応チャンバの内部へRF電力を印加する工程を含む、ところの方法。
  34. 原子層成長用の排気可能反応チャンバへ結合されるよう適応されたガス供給装置であって、
    分散板と、
    第1ガスが通過するところの第1ボアを有する第1プレートであって、前記分散板と前記第1プレートとの間に第1セクションが形成され、第1ガスは第1セクション内に導入されかつ前記第1ボアを通過する、ところの第1プレートと、
    第2ガスが通過するところの第2ボアを有する第2プレートであって、第2セクションは第1プレートと第2プレートとの間に形成され、第2ガスは第2セクション内に導入されかつ第2ボアを通過し、前記第2プレートは第1ガスが通過するところの第3ボアを有し、第2セクションは第2ボアと連通することなく第2セクション内で各第1ボアと各第3ボアとを結合して連通させる、ところの第2プレートと、
    から成り、
    第1セクションまたは第2セクションの少なくともひとつは対応するボアを通過せずに対応するセクションが排気システムと結合される、ところの装置。
  35. 請求項34に記載のガス供給装置であって、第1プレート及び第2プレートは互いに平行に配置され、分散板は円錐形状を有する、ところの装置。
  36. 請求項34に記載のガス供給装置であって、分散板は第1セクション内に第1ガスを導入するための分散板の中心領域に配置された第1ガス導入口を具備する、ところの装置。
  37. 請求項34に記載のガス供給装置であって、第2セクションは第2セクションの外周付近に配置された第2ガス導入口を具備する、ところの装置。
  38. 請求項34に記載のガス供給装置であって、第1セクションは排気システムに結合され、第1セクション内に存在する第1ガスは分散板の外周付近から排気される、ところの装置。
  39. 請求項34に記載のガス供給装置であって、第2セクションは排気システムに結合され、第2セクション内に存在する第2ガスは第2セクションの外周付近に配置された第2ガス排気口を通じて排気される、ところの装置。
  40. 請求項34に記載のガス供給装置であって、第2ボアが第2プレートの主に中心領域に配置される、ところの装置。
  41. 請求項34に記載のガス供給装置であって、第1ボアは第1プレート上に均一に分布し、第3ボアは各第1ボアの真下に配置される、ところの装置。
  42. 請求項34に記載のガス供給装置であって、第3ボアは第2ボアより大きい総開口面積を有する、ところの装置。
  43. 請求項34に記載のガス供給装置であって、第3ボアは第2ボアよりも大きい平均ボアサイズを有する、ところの装置。
  44. 請求項40に記載のガス供給装置であって、第2セクションは排気システムに結合され、第2セクションの外周付近の第2ガス排気口及び第2ガス導入口を具備し、第2セクションは第2ボアを有する中心領域を介して導入口から排気口へ縦長形状を有する、ところの装置。
JP2005105786A 2004-04-15 2005-04-01 薄膜形成装置 Pending JP2005303292A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/824,798 US7273526B2 (en) 2004-04-15 2004-04-15 Thin-film deposition apparatus

Publications (1)

Publication Number Publication Date
JP2005303292A true JP2005303292A (ja) 2005-10-27

Family

ID=35094958

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005105786A Pending JP2005303292A (ja) 2004-04-15 2005-04-01 薄膜形成装置

Country Status (3)

Country Link
US (1) US7273526B2 (ja)
JP (1) JP2005303292A (ja)
KR (1) KR20060047153A (ja)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007273515A (ja) * 2006-03-30 2007-10-18 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置
WO2010067778A1 (ja) * 2008-12-09 2010-06-17 株式会社アルバック 窒化タンタル膜の形成方法及びその成膜装置
JP2010529663A (ja) * 2007-06-06 2010-08-26 アイクストロン、アーゲー 複数の拡散溶接枠を具備するガス分配器及びその製造方法
JP2011525299A (ja) * 2008-05-09 2011-09-15 アプライド マテリアルズ インコーポレイテッド 流動性誘電体の装置およびプロセス
US8236106B2 (en) 2008-03-14 2012-08-07 Tokyo Electron Limited Shower head and substrate processing apparatus
JP2013503978A (ja) * 2009-09-08 2013-02-04 ユニバーサル ディスプレイ コーポレイション パターン化有機薄膜の堆積方法および堆積システム
US8366828B2 (en) 2008-03-19 2013-02-05 Tokyo Electron Limited Shower head and substrate processing apparatus
JP2015015466A (ja) * 2013-07-03 2015-01-22 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 複数プレナム/2温度シャワーヘッド
JP2015140472A (ja) * 2014-01-30 2015-08-03 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2015144185A (ja) * 2014-01-31 2015-08-06 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
WO2015146543A1 (ja) * 2014-03-26 2015-10-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびコンピュータ読み取り可能な記録媒体
JP5800957B1 (ja) * 2014-06-17 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
WO2015186319A1 (ja) * 2014-06-03 2015-12-10 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR20160004904A (ko) * 2014-07-04 2016-01-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
CN105556656A (zh) * 2013-09-16 2016-05-04 应用材料公司 具有温度分布控制的加热式基板支撑件
US9824883B2 (en) 2013-12-27 2017-11-21 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and non-transitory computer-readable recording medium
JP2018066063A (ja) * 2012-06-25 2018-04-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 基板処理システムを動作させるための方法
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
JP2022500561A (ja) * 2018-09-29 2022-01-04 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. ガス吸気システム、原子層堆積装置および方法
KR20220166726A (ko) 2021-06-10 2022-12-19 도쿄엘렉트론가부시키가이샤 샤워 헤드 및 기판 처리 장치
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
JP2023516217A (ja) * 2020-04-03 2023-04-18 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド 半導体装置及び半導体装置のガス分配器

Families Citing this family (527)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20060019029A1 (en) * 2004-07-20 2006-01-26 Hamer Kevin T Atomic layer deposition methods and apparatus
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
JP4790291B2 (ja) * 2005-03-10 2011-10-12 東京エレクトロン株式会社 基板処理方法、記録媒体および基板処理装置
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2007324529A (ja) * 2006-06-05 2007-12-13 Tokyo Electron Ltd ガス導入装置、この製造方法及び処理装置
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
JP4299863B2 (ja) * 2007-01-22 2009-07-22 エルピーダメモリ株式会社 半導体装置の製造方法
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US9059223B2 (en) * 2007-12-12 2015-06-16 Intermolecular, Inc. Modular flow cell and adjustment system
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
WO2010024036A1 (ja) * 2008-08-28 2010-03-04 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置のクリーニング方法
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
TWI475124B (zh) * 2009-05-22 2015-03-01 Samsung Display Co Ltd 薄膜沉積設備
TWI472639B (zh) * 2009-05-22 2015-02-11 Samsung Display Co Ltd 薄膜沉積設備
US8882920B2 (en) * 2009-06-05 2014-11-11 Samsung Display Co., Ltd. Thin film deposition apparatus
US8882921B2 (en) * 2009-06-08 2014-11-11 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101074792B1 (ko) * 2009-06-12 2011-10-19 삼성모바일디스플레이주식회사 박막 증착 장치
KR101117719B1 (ko) 2009-06-24 2012-03-08 삼성모바일디스플레이주식회사 박막 증착 장치
KR101117720B1 (ko) * 2009-06-25 2012-03-08 삼성모바일디스플레이주식회사 박막 증착 장치 및 이를 이용한 유기 발광 소자 제조 방법
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
KR101127575B1 (ko) * 2009-08-10 2012-03-23 삼성모바일디스플레이주식회사 증착 가림막을 가지는 박막 증착 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101127578B1 (ko) * 2009-08-24 2012-03-23 삼성모바일디스플레이주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
JP5328726B2 (ja) * 2009-08-25 2013-10-30 三星ディスプレイ株式會社 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法
JP5677785B2 (ja) 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
US20110052795A1 (en) * 2009-09-01 2011-03-03 Samsung Mobile Display Co., Ltd. Thin film deposition apparatus and method of manufacturing organic light-emitting display device by using the same
US8696815B2 (en) * 2009-09-01 2014-04-15 Samsung Display Co., Ltd. Thin film deposition apparatus
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
KR101084184B1 (ko) 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
KR101174875B1 (ko) 2010-01-14 2012-08-17 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101193186B1 (ko) * 2010-02-01 2012-10-19 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101156441B1 (ko) * 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
KR101202348B1 (ko) 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
KR101223723B1 (ko) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101673017B1 (ko) 2010-07-30 2016-11-07 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시장치의 제조 방법
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
KR20120029166A (ko) 2010-09-16 2012-03-26 삼성모바일디스플레이주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101723506B1 (ko) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101738531B1 (ko) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR20120045865A (ko) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 유기층 증착 장치
KR20120065789A (ko) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 유기층 증착 장치
KR101760897B1 (ko) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 증착원 및 이를 구비하는 유기막 증착 장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
KR101840654B1 (ko) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101852517B1 (ko) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101857249B1 (ko) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120312234A1 (en) * 2011-06-11 2012-12-13 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101826068B1 (ko) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 유기층 증착 장치
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101308111B1 (ko) * 2011-11-17 2013-09-26 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150211114A1 (en) * 2014-01-30 2015-07-30 Applied Materials, Inc. Bottom pump and purge and bottom ozone clean hardware to reduce fall-on particle defects
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9885112B2 (en) * 2014-12-02 2018-02-06 Asm Ip Holdings B.V. Film forming apparatus
CN104451601B (zh) * 2014-12-02 2017-02-22 浙江大学 一种常压化学气相沉积镀膜反应器
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
CN104561938B (zh) * 2015-01-09 2017-04-19 浙江大学 一种浮法在线常压化学气相沉积镀膜反应器
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017120241A1 (en) * 2016-01-07 2017-07-13 Applied Materials, Inc. Atomic layer etching system with remote plasma source and dc electrode
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10801106B2 (en) * 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN107022753B (zh) * 2017-04-19 2019-09-27 同济大学 一种原子层沉积反应装置及通孔材料表面薄膜沉积工艺
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN108677167B (zh) * 2018-06-27 2020-07-03 沈阳拓荆科技有限公司 半导体镀膜设备的喷淋装置、化学气相沉积设备及其操作方法
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11078568B2 (en) * 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP7236953B2 (ja) * 2019-08-05 2023-03-10 東京エレクトロン株式会社 成膜装置および成膜方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US20210404059A1 (en) * 2020-06-26 2021-12-30 Applied Materials, Inc. Processing system and method of controlling conductance in a processing system
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12011731B2 (en) 2020-07-10 2024-06-18 Applied Materials, Inc. Faceplate tensioning method and apparatus to prevent droop
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11881416B2 (en) * 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115354304B (zh) * 2022-08-25 2023-11-17 拓荆科技(上海)有限公司 半导体反应腔

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06120143A (ja) * 1992-10-07 1994-04-28 Hitachi Ltd 気相化学反応装置
JP2001262352A (ja) * 2000-02-16 2001-09-26 Apex Co Ltd ラジカル蒸着のためのシャワーヘッド装置
JP2002294454A (ja) * 2001-03-19 2002-10-09 Apex Co Ltd 化学気相蒸着装置
JP2003055769A (ja) * 2001-06-12 2003-02-26 Hynix Semiconductor Inc 化学的強化剤処理チャンバ及び該半導体素子の銅薄膜堆積装置
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
JP2004047660A (ja) * 2002-07-11 2004-02-12 Hitachi Ltd 成膜装置及び成膜方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06120143A (ja) * 1992-10-07 1994-04-28 Hitachi Ltd 気相化学反応装置
JP2001262352A (ja) * 2000-02-16 2001-09-26 Apex Co Ltd ラジカル蒸着のためのシャワーヘッド装置
JP2002294454A (ja) * 2001-03-19 2002-10-09 Apex Co Ltd 化学気相蒸着装置
JP2003055769A (ja) * 2001-06-12 2003-02-26 Hynix Semiconductor Inc 化学的強化剤処理チャンバ及び該半導体素子の銅薄膜堆積装置
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
JP2004047660A (ja) * 2002-07-11 2004-02-12 Hitachi Ltd 成膜装置及び成膜方法

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007273515A (ja) * 2006-03-30 2007-10-18 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置
JP2010529663A (ja) * 2007-06-06 2010-08-26 アイクストロン、アーゲー 複数の拡散溶接枠を具備するガス分配器及びその製造方法
US8236106B2 (en) 2008-03-14 2012-08-07 Tokyo Electron Limited Shower head and substrate processing apparatus
US8366828B2 (en) 2008-03-19 2013-02-05 Tokyo Electron Limited Shower head and substrate processing apparatus
JP2011525299A (ja) * 2008-05-09 2011-09-15 アプライド マテリアルズ インコーポレイテッド 流動性誘電体の装置およびプロセス
KR101271869B1 (ko) * 2008-12-09 2013-06-07 가부시키가이샤 알박 질화 탄탈막의 형성 방법 및 그 성막 장치
JP5409652B2 (ja) * 2008-12-09 2014-02-05 株式会社アルバック 窒化タンタル膜の形成方法
WO2010067778A1 (ja) * 2008-12-09 2010-06-17 株式会社アルバック 窒化タンタル膜の形成方法及びその成膜装置
JP2013503978A (ja) * 2009-09-08 2013-02-04 ユニバーサル ディスプレイ コーポレイション パターン化有機薄膜の堆積方法および堆積システム
JP2018066063A (ja) * 2012-06-25 2018-04-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 基板処理システムを動作させるための方法
US11725282B2 (en) 2012-06-25 2023-08-15 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US11111581B2 (en) 2012-06-25 2021-09-07 Lam Research Corporation Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10287683B2 (en) 2012-06-25 2019-05-14 Lam Research Corporation Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP2015015466A (ja) * 2013-07-03 2015-01-22 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 複数プレナム/2温度シャワーヘッド
CN105556656A (zh) * 2013-09-16 2016-05-04 应用材料公司 具有温度分布控制的加热式基板支撑件
US9824883B2 (en) 2013-12-27 2017-11-21 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and non-transitory computer-readable recording medium
KR101580939B1 (ko) 2014-01-30 2015-12-30 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP2015140472A (ja) * 2014-01-30 2015-08-03 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
KR20150090985A (ko) * 2014-01-30 2015-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP2015144185A (ja) * 2014-01-31 2015-08-06 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9508546B2 (en) 2014-01-31 2016-11-29 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
WO2015146543A1 (ja) * 2014-03-26 2015-10-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびコンピュータ読み取り可能な記録媒体
JP2015229776A (ja) * 2014-06-03 2015-12-21 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2015186319A1 (ja) * 2014-06-03 2015-12-10 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5800957B1 (ja) * 2014-06-17 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
KR101669752B1 (ko) * 2014-07-04 2016-11-09 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9869022B2 (en) 2014-07-04 2018-01-16 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
JP2016017186A (ja) * 2014-07-04 2016-02-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
KR20160004904A (ko) * 2014-07-04 2016-01-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US12000047B2 (en) 2016-12-14 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
JP2022500561A (ja) * 2018-09-29 2022-01-04 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. ガス吸気システム、原子層堆積装置および方法
JP7203207B2 (ja) 2018-09-29 2023-01-12 北京北方華創微電子装備有限公司 ガス吸気システム、原子層堆積装置および方法
JP2023516217A (ja) * 2020-04-03 2023-04-18 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド 半導体装置及び半導体装置のガス分配器
JP7382514B2 (ja) 2020-04-03 2023-11-16 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド 半導体製造装置及び半導体製造装置のガス分配器
KR20220166726A (ko) 2021-06-10 2022-12-19 도쿄엘렉트론가부시키가이샤 샤워 헤드 및 기판 처리 장치

Also Published As

Publication number Publication date
US7273526B2 (en) 2007-09-25
US20050229848A1 (en) 2005-10-20
KR20060047153A (ko) 2006-05-18

Similar Documents

Publication Publication Date Title
JP2005303292A (ja) 薄膜形成装置
US8197599B2 (en) Gas head and thin-film manufacturing apparatus
JP4399452B2 (ja) 基板処理装置及び半導体装置の製造方法
JP5075325B2 (ja) バッチリアクター内でのTiN膜の堆積
KR101324367B1 (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
US7482283B2 (en) Thin film forming method and thin film forming device
US7569501B2 (en) ALD metal oxide deposition process using direct oxidation
US8123860B2 (en) Apparatus for cyclical depositing of thin films
JP4965247B2 (ja) 促進されたaldプロセス
US9388492B2 (en) Vapor flow control apparatus for atomic layer deposition
US11814727B2 (en) Systems and methods for atomic layer deposition
CN105839077B (zh) 用于沉积iii-v主族半导体层的方法和装置
KR20050034567A (ko) 상류, 하류 배기 기구를 구비한 박막 형성 장치 및 방법
US20090035946A1 (en) In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
WO2005085495A1 (ja) 成膜方法
US7771535B2 (en) Semiconductor manufacturing apparatus
KR20060096445A (ko) 박막 성장용 반응 시스템
KR20080100793A (ko) 실리콘 및 타이타늄 질화물의 인시츄 증착
JP2007173824A (ja) 原子層成長及び化学気相成長による薄膜形成方法
KR20180121828A (ko) 성막 방법 및 성막 장치
WO2005024926A1 (ja) 基板処理装置及び半導体装置の製造方法
JP2004288899A (ja) 成膜方法および基板処理装置
JP2005142355A (ja) 基板処理装置及び半導体装置の製造方法
JP6702514B1 (ja) 酸化膜形成装置
KR20180138152A (ko) 성막 방법, 성막 장치 및 기억 매체

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080218

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080218

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100712

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100720

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101115