KR20080100793A - 실리콘 및 타이타늄 질화물의 인시츄 증착 - Google Patents

실리콘 및 타이타늄 질화물의 인시츄 증착 Download PDF

Info

Publication number
KR20080100793A
KR20080100793A KR1020080044756A KR20080044756A KR20080100793A KR 20080100793 A KR20080100793 A KR 20080100793A KR 1020080044756 A KR1020080044756 A KR 1020080044756A KR 20080044756 A KR20080044756 A KR 20080044756A KR 20080100793 A KR20080100793 A KR 20080100793A
Authority
KR
South Korea
Prior art keywords
precursor
chamber
gas
depositing
silicon
Prior art date
Application number
KR1020080044756A
Other languages
English (en)
Other versions
KR101480529B1 (ko
Inventor
알버트 하스퍼
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20080100793A publication Critical patent/KR20080100793A/ko
Application granted granted Critical
Publication of KR101480529B1 publication Critical patent/KR101480529B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 웨이퍼의 처리 방법이 제공되며, 일실시예에 따른 반도체 웨이퍼의 처리 방법은, 공정 챔버 내부로 반도체 웨이퍼들의 배치(batch)를 로딩하는 단계; 상기 공정 챔버 내에서 상기 웨이퍼들 상에 타이타늄 질화물(TiN)을 증착하는 단계; 및 상기 공정 챔버 내에서 상기 웨이퍼들 상에 실리콘을 증착하는 단계를 포함하며, 상기 증착하는 단계들 사이에서 상기 공정 챔버로부터 상기 웨이퍼들을 제거하지 않는다. 바람직한 실시예들에서, 상기 타이타늄 질화물을 증착하는 단계 및 상기 실리콘을 증착하는 단계는 모두 약 400 ℃ 내지 550 ℃ 범위 내의 온도들과, 서로 100 ℃ 내의 온도들 수행된다.
TiN 증착, 배치형 반응로

Description

실리콘 및 타이타늄 질화물의 인시츄 증착{In situ silicon and titanium nitride deposition}
본 출원은 일반적으로 반도체 공정에 관한 것이며, 더욱 상세하게는, 실리콘 및 타이타늄 질화물의 증착에 관한 것이다.
본 출원은 참조에 의해 미국 특허 제6,746,240호, 미국 특허 제6,962,859호, 미국 공개특허 제2004/0250853 A1호, 미국 공개특허 제2005/0118837 A1호, 미국 공개특허 제2006/0060137 A1호, 미국 공개특허 제2006/0088985 A1호 및 1988년판 Sze 저의 VLSI Technology, 240-241 쪽의 개시 사항 전체를 포함한다.
반응로로 지칭되는 고온 오븐(oven)은 반도체 기판 상에 집적 회로와 같은 매우 미세한 크기를 갖는 구조를 형성하는데 사용된다. 반응 챔버 내부의 기판 지지체 상에 실리콘 기판과 같은 하나 이상의 기판이 배치된다. 상기 기판과 지지체는 모두 소정의 온도로 가열된다. 일반적인 기판 처리 단계에서, (전구체라고도 지칭되는) 반응 가스가 상기 가열된 기판 상을 지나면, 상기 기판 상에 박막 증착(예를 들면, 화학기상증착, 즉 CVD)이 일어난다. CVD는 일반적으로 250 - 900 ℃와 같은 고온에서 수행된다.
반응 설비는 통상적으로 상기 반응 챔버에 가스를 전달하기 위한 시스템을 포함한다. 상기 가스 전달 시스템은 일반적으로 복수의 전구체 소스, 선택적으로는 하나의 운반 가스 및/또는 퍼지 가스 소스, 상기 전기체 가스를 상기 반응 챔버에 전달하기 위한 파이프의 네트워크, 마지막으로 상기 챔버 내부에 균일하게 상기 가스를 주입하기 위한 주입 매니폴드 또는 샤워헤드 및 상기 가스의 흐름을 제어하기 위한 다수의 밸브를 포함한다. 또한, 일부 전구체 소스는 파우더 또는 액상일 수 있으며, 이러한 전구체들을 기화시키기 위한 수단(예를 들면, 버블러)가 제공될 수 있다.
다른 형태의 증착 공정으로서, 원자층 증착(atomic layer deposition; ALD)가 있다. ALD에서는 2개의 상보적인 전구체가 교번하여 상기 반응 챔버 내에 인입된다. 일반적으로, 상기 기판 표면 상에 제 1 전구체가 흡착되지만, 상기 제 2 전구체가 없이는 완전히 분해될 수는 없다. 상기 기판 표면에 상기 제 1 전구체가 포화될 때까지 상기 제 1 전구체가 흡착되며, 제 2 전구체가 인입될 때까지 더 이상의 성장을 일어날 수 없게 된다. 따라서, 막의 두께는, 일반적인 CVD 공정의 경우와 같이 증착 시간에 의해서가 아니라, 전구체의 주입 주기의 수에 의해 제어된다. 따라서, ALD는 막의 두께와 균일도를 극도의 정밀도로 제어할 수 있도록 한다. ALD 는 일반적으로 250 내지 500 ℃ 범위의 온도에서 수행된다.
일반적으로, ALD에서, 먼저 공급된 전구체 가스의 과량을 반응 챔버에서 제거하기 위한 일환으로서, 2 개의 전구체 가스의 주입 사이에 비반응성 보호 가스(non reactive protective gas)가 상기 반응 챔버에 펄스 주입된다. 그렇지 않 으면, 상기 전구체 가스의 과량은 후속하여 펄스 주입되는 전구체 가스와 서로 혼합되어 반응하여, 상기 기판 표면 및/또는 상기 챔버의 표면 상에 원치 않는 CVD 형태의 막 성장을 초래하게 된다.
낮은 전기적 저항, 우수한 열적 안정성 및 우수한 확산 장벽 특성을 포함하는 다양한 이유에서, 집적 회로의 제조시 타이타늄 질화물(TiN)에 관한 다양한 적용이 있다. 적용의 예로서, 트랜지스터와 같은 전기 소자에서 콘택 또는 장벽층으로서의 사용 및 전극으로서의 사용이 포함된다.
그러나, TiN의 특성은 공정 또는 증착 파라미터들에 밀접히 의존한다. 따라서, 특정 응용에 대한 증착된 TiN의 적합성 및 바람직함은 소정의 특성들, 예를 들면 높은 균일성 및 낮은 저항을 갖는 TiN을 형성할 수 있는 증착 공정의 가용성에 의존할 수 있다. 그에 따라, 새로운 TiN 증착 공정을 개발하기 위한 연구가 진행되고 있다.
예를 들면, 핫 월 퍼니스(hot wall furnace)에서의 TiN 막의 저압 화학기상증착(LPCVD)은 2002년판 Material Letters의 제57권 N. Ramanuja 등이 저술한 261-269쪽에 개시되어 있다. 그러나, Ramanuja 등의 개시 사항은, 산업 표준인 200 mm 및 300 mm 웨이퍼가 아니라 100 mm 웨이퍼를 연구하였기 때문에, 제한적이다.
수용 가능한 TiN 막의 형성할 수 있는 것과 함께, TiN 증착 공정의 증착 온도를 상대적으로 감소시켜, 다른 공정 및 구조에 상기 증착 공정을 결합하기 위한 유연성을 증가시키는 것은 바람직하다. 예를 들면, 증착 온도가 400-500 ℃ 범위로 감소되면, 이를 멀티 레벨 알루미늄 또는 구리 배선 공정과 결합하여 사용될 수 있 게 된다.
그러나, 증착 온도를 감소시키는 것은 TiN 막내에 상당 량의 염소가 포함되는 것을 초래하고, 저항의 상당한 증가를 초래하며, 이들은 바람직하지 못하다. 1992년판 Microelectronic Engineering의 제19권, J. T, Hillman저의 375-378쪽를 참조하라. 막의 저항과 염소 량을 감소시키기 위하여, Hillman은 후속하여 증착후어닐링(post-deposition anneal)이 수반되는 단일 웨이퍼 증착 공정을 개시하고 있다. 그러나, 바람직하지 못하게, 이와 같은 공정은 추가적인 공정 단계를 필요로 하며, 단일 웨이퍼 공정을 사용함으로써 처리량을 제한하기도 한다.
본 발명이 해결하고자 하는 기술적 과제는 배치형 반응로에서, 기판들 상에 TiN 및 실리콘을 인시츄(in situ) 증착하는 실현 가능한 방법들을 제공하는 것이다.
일실시예에서, 반도체 웨이퍼의 처리 방법이 제공된다. 공정 챔버 내부로 반도체 웨이퍼들의 배치(batch)가 로딩된다. 상기 공정 챔버 내에서 상기 웨이퍼들 상에 타이타늄 질화물(TiN)을 증착된다. 상기 공정 챔버 내에서 상기 웨이퍼들 상에 실리콘이 증착되며, 상기 증착하는 단계들 사이에서 상기 공정 챔버로부터 상기 웨이퍼들이 제거되지 않는다.
다른 실시예에서, 장치는 공정 챔버, 타이타늄 전구체 소스, 질소 전구체 소스, 실리콘 전구체 소스 및 밸브 시스템을 포함한다. 상기 공정 챔버는 복수의 반도체 웨이퍼들을 수용하도록 구성된다. 상기 타이타늄, 질소 및 실리콘 전구체 소스는 각각 상기 챔버 내부로 상기 타이타늄 전구체의 증기를 전달하기 위하여 상기 챔버와 각각 연통된다. 상기 밸브 시스템은 상기 챔버 내부로 상기 증기들의 전달을 선택적으로 제어할 수 있도록 구성된다.
본 발명과 선행 기술에 비하여 더 우수한 이점을 요약하기 위하여, 본 명세서에는 본 발명의 특정 목적들과 이점이 설명되어 있다. 물론, 본 발명의 어느 특정 실시예에 따르는 경우, 이러한 모든 목적들 또는 이점들이 반드시 얻어지는 것 이 아님을 이해하여야 한다. 따라서, 예를 들면, 당업자는 본 명세서에서 교시되거나 시사된 바에 따른 다른 목적들 또는 이점들을 반드시 얻지 않고서도, 본 명세서에 교시된 바에 따른 어느 하나의 이점 또는 일군의 이점을 얻거나 최적화할 수 있는 방법으로 본 발명이 구현되거나 실시될 수 있다는 것을 이해할 것이다.
이들 실시예들 모두는 본 발명의 범위 내에 속하는 것이다. 본 발명의 또 다른 태양, 특징들 및 이점들은 후술하는 바람직한 실시예들에 관한 상세한 설명으로부터 분명해질 것이다. 본 발명은 바람직한 실시형태에 관한 상세한 설명 및 첨부된 도면들로부터 더욱 명확히 이해될 것이며, 이들은 예시적인 것이며, 본 발명을 제한하기 위한 것이 아니다.
본 발명의 실시예들에 따르면, TiN 및 실리콘의 인시츄 증착 공정이, 바람직하지 못한 정도의 파티클을 생성시키지 않고서도, 비교적 좁은 온도 범위에서 수행될 수 있다. 이와 같이, TiN 및 실리콘층들이 증착되는 비교적 좁은 온도 범위 때문에, 실리콘과 TiN의 열팽창 및 열수축이 다르더라도 서로 인접하는 TiN과 실리콘 층들 사이에 발생할 수 있는 문제점들이 실질적으로 극복될 수 있다.
개관
최근에, 배치형 반응로의 반응 챔버 내에 하나 이상의 전구체를 주기적으로 인입하거나 펄스 주입함으로써, 상기 반응로 내의 기판들 상에 균일하고 저저항의 TiN 막을 경제적으로 증착할 수 있음이 알려졌다. 예를 들면, Hasper 등의 미국 공개특허 제2006/0060137 A1호에는 안정적인 타이타늄 및 질소 전구체들, 즉 라디컬 또는 플라즈마가 아닌 전구체를 사용하여 TiN 막을 형성하는 것이 개시되어 있다. Hasper 등은 2 개의 일반적인 방법, 즉 (1) 상기 반응 챔버 내에 (타이타늄 사염화물, TiCl4와 같은) 타이타늄 전구체 및 (암모니아; NH3)와 같은 질소 전구체를 교번하여 펄스 주입하는 것 및 (2) (TiCl4와 같은) 다른 전구체를 펄스 주입하면서 상기 반응 챔버 내에 (NH3와 같은) 전구체들 중 하나를 연속적으로 유입시키는 것을 개시한다. Hasper 등은, 이들 방법들에 따르는 경우, 200 mm 또는 300 mm 웨이퍼와 같은 산업용 크기의 웨이퍼 상에 우수한 균일도와 낮은 저항을 갖는 TiN 막을 증착할 수 있음을 발견하였다. 또한, Hasper 등은 이러한 방법에 따르면, 상기 증착이 멀티-레벨 알루미늄 또는 구리 배선 공정과 같은 다른 공정에 정합될 수 있도록, 예를 들면, 450-600 ℃ 사이의) 더 낮은 온도에서 TiN 증착이 수행될 수 있다는 것을 발견하였다.
TiN 막은 산화에 취약하다. 일반적으로, TiN 막이 증착된 직후에, 상기 TiN 막의 산화를 방지하기 위해 상기 TiN 막 상에 보호용 폴리실리콘 캡핑막이 증착된다. 본 방법들은, 실리콘 증착이 일반적으로 TiN 증착을 위한 바람직한 온도 범위(예를 들면, Hasper 등의 미국 공개특허 제2006/0060137 A1호에 교시된 바와 같이 450-500 ℃)보다 상당히 더 높은 온도에서 수행되기 때문에, 2 개의 다른 반응로에서 TiN 막과 실리콘 캡핑막을 증착하는 단계를 포함한다. 동일 반응로에서, 서로 다른 온도에서 2 개의 층을 모두 증착하는 것과 달리, 분리된 반응로에서 TiN 과 실리콘을 증착하는 주된 이유는 실리콘(예를 들면, 폴리 실리콘)을 증착하는데 사용되는 공정 튜브의 온도 변화로 인하여 상기 반응 챔버 내에 허용될 수 없을 만큼의 파티클이 생성될 수 있으며, 이는 증착된 막들의 품질에 악영향을 줄 수 있기 때문이다. 또한, 자명한 바와 같이, 반응 챔버 내의 기판들 상에 실리콘을 증착하는 것은 반응 챔버의 월 상에 실리콘 증착을 초래한다. 통상적으로, 증착된 실리콘과 챔버 월이 다른 열팽창 계수를 가지는 경우에 그러하다. 예를 들면, 복사 방식으로 가열되는 반응 챔버는 석영 벽으로 형성되고, 석영과 실리콘의 열팽창 계수는 각각 0.59 ppm/K 및 2.3 ppm/K이다. 온도가 상당히 변하는 경우에, 상기 챔버 월과 이에 증착된 실리콘은 서로 다른 정도로 팽창하거나 수축될 것이다. 이로 인하여, 실리콘 파티클이 박편화되어 상기 월로부터 떨어져, 상기 챔버를 오염시킬 수 있다. 이러한 이유 때문에, 일반적으로 실리콘 증착에 사용되는 반응 챔버의 온도를 변화시키는 것은 바람직하지 않다.
또한, 이러한 문제는 TiN과 실리콘이 기판 상에 서로 인접하는 층으로서 서로 다른 다른 온도로 증착되는 경우에도 발생한다. TiN은 9.3 ppm/K의 열팽창 계수를 가진다. 따라서, TiN이 어느 온도(예를 들면, 450-500 ℃)에서 증착되고, 실리콘이 상당히 높은 온도에서 상기 TiN 상에 증착된다면, 상기 실리콘과 TiN의 열팽창 및 수축 상의 차이로 인한 박편화와 파티클 생성과 같이 수용될 수 없는 위험이 될 수 있다.
동일한 반응로에서 서로 다른 온도에서 2 개의 막들을 모두 증착하는 것과 달리, TiN과 실리콘은 다른 반응로에서 증착을 하는 또 다른 이유는 챔버 전체(특 히 배치형 반응로의 경우)를 통해서 온도가 변하고 안정화되기를 기다리는 것이 하나 이상의 기판을 다른 온도로 유지되는 다른 챔버로 이송하는 것 보다 더 오래 걸리기 때문이다. TiN 증착에 적용되는 상대적으로 낮은 온도에서는, 복사를 통한 열 전달이 제한된다. 전도에 의한 열전달은 또한 저압의 배치형 퍼니스에서 스택된 기판을 위해서는 효율적이지 않다. 결과적으로, 온도 안정화가 늦고, 기판을 다른 챔버로 이송하는 것은 대개 덜 시간소모적이다.
다른 반응로에서 TiN 및 실리콘을 증착하는 것은 몇몇 문제점들과 결함을 수반한다. 2 개의 분리된 반응로들 사이로 기판을 이송해야 하는 필요성은 더 많은 설비 비용과 더욱 복잡한 처리 공정을 수반하며, 낮은 처리량을 초래한다. 또한, TiN 증착 반응로에서 실리콘 증착 반응로로 TiN 막이 증착된 기판을 이송하는 동안, TiN 이 공기 중에 노출되어 상기 TiN과 상기 실리콘 캡핑막 사이에 바람직하지 못한 계면을 초래할 수 있다.
본 발명의 실시예는 단일한 배치형 반응로에서 복수의 기판들 상에 인시츄로, 이들 증착 단계들 사이에 공정 챔버로부터 상기 기판들을 제거하지 않고, TiN 막과 비정실 실리콘 캡핑막을 증착하는 것을 포함한다. 동일한 반응로에서 2 개의 필름을 증착함으로써, 상기 TiN과 폴리실리콘 캡핑 막 사이에 바람직하지 못한 계면의 형성을 회피할 수 있다. 하나의 반응로를 생략함으로써 비용이 감소된다. 또한, 중간의 기판 이송 단계를 생략함으로써 공정 계획을 단순화할 수 있으며, 기판 처리량을 증가시킬 수 있다.
도 1은 상기 공정을 설명한다. 우선, 실리콘 웨이퍼와 같은 복수의 기판을 배치형 반응로의 공정 챔버 내에 장착한다(1). 상기 공정 챔버 내의 상기 웨이퍼 상에 TiN이 증착된다(2). 상기 공정 챔버 내의 웨이퍼 상에 실리콘이 증착되며(3), 상기 증착 단계 2와 3 사이에 상기 공정 챔버로부터 상기 웨이퍼를 제거하지 않는다.
본 명세서에서, "기판"은 물질이 증착되거나 적용되는 임의의 하지 표면을 포함하는 통상적인 의미로 사용된다. 바람직하게, 기판은 실리콘 웨이퍼와 같은 반도체 기판을 포함한다. 그러나, 기판은 제한 없이, 실질적으로 금속, 실리콘, 게르마늄, 플라스틱 및/또는 유리, 바람직하게는 (Si-O-C-H 저유전율 막을 포함하는) 실리콘 화합물 및 실리콘 합금을 포함하는 재료로 제조될 수 있다. 기판은 또한, 부분적으로 제조된 집적 회로에서와 같이, 내부에 트렌치 또는 스텝과 같은 물리적 구조를 가질 수 있다.
일부 실시예들에서, 상기 TiN 증착은 Hasper 등의 미국 공개특허 제2006/0060137 A1호에 의해 교시된 상술한 방법, 즉, (1) (TiCl4와 같은) 타이타늄 전구체와 (NH3와 같은) 질소 전구체를, 바람직하게는 퍼지(purge) 또는 배기(evacuation) 단계를 개재시켜, 교번하여 펄스 주입하는 것과 (2) (TiCl4와 같은) 다른 전구체를 펄스 주입하면서 상기 반응 챔버 내에 (NH3와 같은) 전구체들 중 하나를 연속적으로 유입시키는 것에 의해 수행된다. 다른 실시예에서, 상기 TiN 증착은 이하의 주기적인 순서, 즉, 상기 타이타늄과 질소 전구체들을 실질적으로 동시에 펄스 주입하는 것, 퍼지 또는 배기 단계, (본 명세서의 다른 부분에서는 "플 러시(flush)"라고 지칭되는) 또 다른 질소 전구체 펄스 및 이후 또 다른 퍼지 또는 배기 단계의 순서를 포함한다. 일부 실시예들에서, 상기 TiN 증착과 상기 폴리실리콘 증착은 상대적으로 낮은 온도(예를 들면, 300-600 ℃, 더욱 바람직하게는 400-500 ℃)에서 수행된다. 바람직한 실시예들에서는, 실리콘 전구체로서 트리실란(Si3H8)이 사용된다.
상술한 내용은 TiN 막 상에 실리콘 캐핑막의 인시츄 증착을 고려하고 있지만, 일부 실시예에서, 막들의 증착 순서는 미리 증착된 실리콘 막 상에 TiN 막이 인시츄로 증착되도록 역전될 수도 있다. 예를 들면, 도 1에서, 실리콘 증착(3)은 TiN 증착(2) 이전에 수행될 수 있다.
배치형 반응로( Batch Reactor )
상술한 바와 같이, TiN과 실리콘 막의 인시츄 증착은 바람직하게는 배치형 반응로 내의 반도체 웨이퍼와 같은 복수의 기판 상에 수행된다. 이하에서는, 몇가지의 예시적인 배치형 반응로에 대해 개시하기로 한다.
바람직하게는, 상기 반응로는 일시적으로 분리된 펄스 상태로 하나 이상의 전구체를 전달하도록 구성되거나 프로그래밍된다. 상기 배치형 반응로는 바람직하게는, 서로 수직 방향으로 분리되고 기판의 주면이 수평 방향으로 배향되도록 상기 기판들을 수용하기 위한 수직으로 연장된 반응 챔버를 가질 수 있다. 바람직하게는, 상기 반응 챔버는 적어도 25 개의 기판들을, 더욱 바람직하게는 적어도 50 개 의 기판들을 수용할 수 있다.
도 2는 서로 수직으로 분리된 기판들(40)을 수용하고 효율적인 가열 및 장착 단계들에 관한 이점을 갖는 수직형 퍼니스 반응로(10)를 개략적으로 도시한다. 퍼니스(10)는 바람직하게는 100-125 개의 기판들을 지지하도록 변형될 수 있다. 적합한 수직형 퍼니스의 예는 네덜란드 빌소벤(Bilthoven) 소재의 ASM 인터네셔날 엔브이(ASM international N.V.)의 사용화된 A400TM 및 A412TM이다. 수직형 퍼니스 타입의 반응로는 효율적인 가열과 로딩 순서에 관한 이점을 갖는다. 그러나, 바람직한 실시예가 수직 배치형 퍼니스의 관점에서 제시되고 있지만, 본 명세서에 개시된 원리들과 이점들은 다른 타입의 반응로에도 적용될 수 있음은 자명하다. 예를 들면, 도시된 반응로가 수직으로 분리된 방식으로 기판을 지지하는 것으로 도시되어 있지만, 본 명세서에 개시된 방법은 수평 방향으로 분리된 방식으로 기판들을 지지하는 배치형 반응로에도 적용될 수 있다.
계속하여 도 2를 참조하면, 튜브(12)는 수직형 퍼니스 또는 반응로(10)의 내부에 반응 챔버(20)를 정의한다. 튜브(12)의 하단부는 하부 지지 표면(14)과 접촉하여 챔버(20)를 기계적으로 밀봉하는 플랜지(90)로 종결된다. 공정 가스는 챔버(20) 상부의 가스 인렛(22)을 통하여 기판 챔버(20) 내로 공급될 수 있으며, 챔버(20) 저부의 가스 아웃렛(24)을 통하여 챔버(20)의 외부로 배출될 수 있다. 반응 챔버(20)는 수직으로 이격된 기판들 또는 웨이퍼들(40)의 스택을 지지하기 위한 웨이퍼 보트(30)를 수용한다.
공정 튜브 플랜지(90)는 표면에 공정 가스가 응축되는 것을 피하기 위하여 상승된 온도로 유지될 수 있다. 상기 상승된 온도는 공정들마다 다를 수 있으며, 바람직하게는, 공정 가스(일부 실시예에서는, TiCl4, NH3, Si3H8 및 N2임)가 무엇인지에 따라 선택될 수 있음은 자명하다. 예를 들면, 플랜지(90)의 상승된 온도는 바람직하게는 120 ℃ 이상이고, 더욱 바람직하게는 약 180-200 ℃이다. 플랜지(90)의 온도 조절은 이에 전기 히터 또는 수냉식 시스템을 제공함으로써 달성될 수 있다. 상기 수냉식은 뜨거운 웨이퍼(40)의 배치의 탈착(unloading) 동안 기본적으로 플랜지(9)의 과열을 피하기 위해 바람직하다.
반응 챔버(20)에 반응종 또는 전구체를 공급하기 위하여, 다양한 시스템이 사용될 수 있다(도 2). 예를 들면, 상기 전구체가 가스인 경우에, 상기 가스는 가스 소스로부터 챔버(20)로 직접 유입될 수 있다. 상기 가스의 흐름 시간과 속도는 당해 기술 분야에 공지된 예를 들면 유량제어기(mass flow controller)에 의해 제어될 수 있다.
TiCl4와 같은 전구체가 액상으로 저장된 경우에, 챔버(20)에 가스 형태로 상기 전구체를 공급하기 위해서 버블러(bubbler)가 사용될 수 있다. 이러한 전구체의 흐름 시간과 속도는 상기 버블러 내의 액상을 통과하는 운반 가스의 흐름과 상기 액체의 온도를 제어함으로써 조절될 수 있다. 상기 운반 가스에 의해 운반되는 액상 전구체의 양은 온도의 증가에 따라 증가된다는 것을 이해할 수 있다.
도 3은 TiCl4와 같은 액상 전구체의 흐름을 제어하기 위한 예시적인 시스템을 개략적으로 도시한다. 액상 전구체는 콘테이너(50)에 저장된다. 기화 기(evaporator 또는 vaporizer; 60) 내로 액체의 흐름을 조절함으로써 반응로(10) 내로 유입되는 액상 전구체의 유량을 조절하기 위하여 액상 흐름 조절(liquid flow control)이 사용된다. 기화된 후에, 전구체의 잘 분리된 펄스가 생성되어 도 3의 상부에 도시된 밸브(80)를 포함하는 밸브 시스템(70)을 사용하는 반응 챔버(20) 내로 유입된다. 바람직하게는, 밸브 시스템(70)의 밸브(80)는, 서로 다른 반응종들의 흐름 사이에 우수한 분리를 제공하기 위해, 상승된 온도에서 동작하며, 최소한의 데드 볼륨(dead volume)도 갖지 않는다. 이러한 밸브 시스템은 미국 공개특허 제2004/0250853 A1호에 더욱 상세히 개시되어 있다.
상술한 바와 같이, 챔버(20) 내부로 공정 가스는 다양한 방법으로 인입될 수 있다. 예를 들면, 도 2에 도시된 반응로에서, 모든 가스가 상부 인렛(22)을 통하여 상부에서 반응로(10)의 내부로 모든 가스가 인입되고, 배기부(24)를 통하여 반응로(10)의 바닥에서 배기된다. 다른 실시예에서는, 상기 내부로 공정 가스를 인입하기 위한 복수의 홀 주입기를 사용함으로써 튜부의 길이에 걸쳐 더욱 균일한 공정 가스의 분포를 달성할 수 있다. 적합한 멀티플-홀 주입기가 미국 특허 제6,746,240호 및 미국 공개특허 제2003/0111013 A1호에 개시되어 있다. 선택적으로는 덜 큰 실리더 형태의 멀티플-홀 주입기들이 사용될 수 있다. 이러한 주입기들은 예를 들면 약 25 mm의 직경과 약 1 mm의 직경을 갖는 홀을 가질 수 있다. 일부 실시예들에서, 멀티플-홀 주입기는 바람직하게는 반응 챔버(20)의 하단부의 플랜지(90) 상에 또는 그 밑에 장착되어 상향될 수 있다.
그러나, 반응 챔버(20)의 상부가 챔버(20)의 높이 방향으로 일부가 상향 연 장되는 주입기만으로 효과적으로 퍼지되지 않을 수 있기 때문에, 퍼지 가스를 인입하기 위해서 멀티플-홀 주입기가 사용되지 않을 수 있다. 바람직하게는, 퍼지 가스는 배기단(exaust end)에 대향하는 챔버 단부에서 챔버(20) 내부로 퍼지 가스가 인입되어, 상기 퍼지 가스가 인입되고 배기되기 전에 반응 챔버(20)의 전 영역을 통하여 흐른다.
도 4는 다른 예시적인 배치형 반응로를 도시한다. 이 디자인에서, 공정 튜브(100)는 상부에서 폐색된다. 이 디자인의 이점은 공정 튜브(100)가 제조상 더 용이하고, 상부 인렛(도 2의 22)의 가스 밀봉과 열적 고립과 관련한 궁극적인 문제점들을 방지할 수 있다는 것이다. 이러한 장치에서 모든 가스들은 가스 주입기(110)를 통하여 인입되며, 이들 주입기(110) 중 2개가 도시되어 있다. 바람직하게는, 각 가스들마다 분리된 주입기(110)이 사용될 수 있다. TiN 증착의 경우에, 하나의 주입기(110)가 (TiCl4와 같은) 타이타늄 전구체 가스와 (NH3와 같은) 질소 전구체 가스 각각에 사용될 수 있다. (Si3H8과 같은) 실리콘 전구체 가스에도 추가적인 주입기(110)가 제공될 수 있다. 이들 주입기(110)는 바람직하게는 튜브(100)의 높이에 걸쳐 분포된 홀들을 가지는 멀티플홀 가스 주입기일 수 있다. 주입기들(110)은 각각 기판에 대하여 실질적으로 수직으로 배향될 수 있다. 각각의 주입기(110)는 기판이 정렬된 길이의 대부분을 따라 연장될 수 있다. 공정 가스가 튜브(100)로부터 배출될 수 있도록, 각 배기부(24)는 바람직하게는 튜브(100)의 저부에 제공된다.
퍼지 가스, 바람직하게는 질소 가스와 같은 불활성 가스용으로 추가적인 주입기(110)가 사용될 수 있다. 퍼지 가스용 주입기(110)는 바람직하게는 내부에 가스 배출홀(gas discharge holes)이 없고 상부에 개구단을 구비하는 튜브이어서, 모드 퍼지 가스가 반응 챔버(1200의 상부에서 배출될 수 있다. 도 5는 3개의 수직으로 연장된 주입기들(110a, 110b 및 110c)을 가지는 반응로(10)를 도시한다. 각각의 주입기들(110a, 110b 및 110c)은 각각 하나 이상의 가스 공급기에 연통하기 위한 인렛(140a, 140b 및 140c)을 가진다. 주입기(110b)는 퍼지 가스가 반응로(10)를 통하여 아래로 흐르고 반응로(10)의 저부에서 배기부(24) 밖으로 배출되도록, 그것의 상단부(112)에서 개구된다. 다른 실시예에서, 배기부(24)는 반응 챔버(120)의 상부에 배치될 수 있으며, 상기 퍼지 가스는 반응 챔버(120)의 저부에서 배출될 수 있다. 바람직하게는, 이러한 멀티플-홀 가스 주입기들을 사용하면, 상기 반응 챔버 내부로의 가스 분포의 균일도가 개선될 수 있으며, 이로 인하여, 증착 결과의 균일성이 개선된다.
도 6-8은 예시적인 배치형 반응로의 또 다른 예를 도시하며, 이는 또한 네덜란드, 빌토벤 소재의 ASM International N. V.사의 Advance 412TM 또는 A412TM이란 상표로 상용화된 것이다. 도 6은 가스 주입기를 갖는 연장된 퍼니스의 개략적인 측단면도이다. 공정 튜브 또는 챔버(526)은 바람직하게는 가열 부재(미도시)에 의해 둘러싸인다. 반응 공간(529)의 외주부를 한정하는 라이너(kiner; 528)는 바람직하게는, 공정 챔버(526)의 내부에 제공된다. 바람직하게는 공정 챔버(526)의 저부에서, 웨이퍼 로드(550)가 도어(530)를 통하여 공정 챔버(526)에 출입할 수 있 다. 전구체 소스 가스는 가스 주입기(540)를 통하여, 바람직하게는 가스 공급 도관(gas feed conduit; 544)을 통하여 주입된다. 가스 공급기(540)에는 홀들로 이루어진 패턴(548)이 제공되며, 바람직하게는, 상기 패턴(548)은 실질적으로 웨이퍼 로드(550)의 높이 상으로 연장된다. 가스들은 우선 가스 주입기(540)의 홀들(548)로부터 반응 공간(529) 내부로 인입되기 때문에, 가스 주입기(540)와 같이, 가스가 흐르는 가스 전달 장치들의 내부는 반응 공간(529)의 일부가 아니며, 어떤 의미에서는 반응 공간(529)의 외부이다. 결과적으로, 반응 공간(529)은 가스 주입기(540)와 같은 가스 전달 장치들에 의해 점유된 체적을 제외한 공정 챔버(526)의 내부 체적을 포함한다. 챔버(526)에 관한 추가적인 상세한 설명은 미국 공개특허 제2003/0111013 A1호에 개시되어 있다.
바람직한 실시예에서, 공정 챔버(526)의 내부에, 가스는 전반적으로 상측 방향(552)으로 흐르고, 이후 공정 챔버(526)와 라이너(528) 사이의 배기 공간(554)을 통하여 반응 공간(529)으로부터 제거된다. 배기 공간(554)에서, 가스는 하측 방향(556)으로 펌프(미도시)에 연결될 수 있는 배출부(558)로 흐른다. 가스 주입기(540)는 바람직하게는 공정 챔버(526)의 내부에서 반응 공간(529)의 전체 높이에 걸쳐서 공정 가스를 분포시킨다. 가스 주입기(540) 자체는 가스 흐름의 제한부로서 기능하여, 도관(544)에 근접한 홀들(548)이 도관(544)으로부터 더 멀리 있는 홀들(548)에 비해, 반응 공간 내부로 더 많은 가스를 주입하는 경향을 갖도록 한다. 바람직하게는, 홀들(548)을 통한 가스 흐름의 차이에 관한 이러한 경향성은 도관(544)으로부터 더 멀리 배치될수록 홀들(548) 사이의 거리를 감소시킴으로써(즉, 홀들(548)의 밀도를 증가시킴으로써) 어느 정도로 보상될 수 있다. 다른 실시예에서, 도관(544)으로부터의 거리가 증가될수록 홀들(548)을 구성하는 개개의 홀들의 크기가 증가되거나, 도관(544)으로부터 거리가 증가될수록 홀들(548)의 크기는 증가되고 홀들(548) 사이의 거리는 감소될 수 있다. 바람직하게는, 그러나, 홀들(548)을 포함하는 가스 주입기(540)의 측면의 표면적이 최소화되도록 일정한 크기의 홀들(548)이 적용될 수도 있다.
주입기(540)는, 바람직하게는, 상기 가스 주입기 내부의 압력을 감소시키도록 설계될 수 있으며, 압력이 증가하여야 일반적으로 반응 속도도 증가되기 때문에 상기 가스 주입기 내에서 일어나는 가스 상의 반응을 감소시킬 수 있다. 이렇게 감소된 압력은 가스 주입기(540)의 높이에 걸쳐 사스의 분포를 열악하게 할 수도 있지만, 가스 분포의 균일도를 향상시키기 위해, 주입기(540)의 높이에 걸친 홀들(548)의 분포를 선택할 수 있다.
도 7은 가스 주입기(540)의 예시적인 일실시예이다. 가스 주입기(540)는 바람직하게는 2 개의 가스 주입기 부들(541, 542)를 포함하며, 이들 각각에는 바람직하게는 분리된 가스 공급 도관 연결부(545 및 546)가 각각 제공될 수 있다. 제 1 부(541)는 반응 공간(도 6의 529)의 하부 체적 내부로 가스를 주입하고, 제 2 부(542)는 반응 공간(529)의 상부 체적 내부로 가스를 주입한다. 이들 부들(541 및 542)은 연결부들(linkages; 549 및 551)에 의해 연통된다. 이의 상단부에서는, 챔버(도 6의 526)의 내부에 훅 지지부(hook support)에 가스 주입기(540)의 상단부가 단단하게 지지되도록, 가스 주입기(540)에 훅(553)이 제공될 수 있다.
기스 주입기(540)에는 웨이퍼 로드(550)의 높이(도 6의 560)에 걸쳐 실질적으로 연장된 홀들(548)의 패턴이 제공된다. 상기 홀들의 전체 단면부는 바람직하게는 적어도 약 30 mm2이다. 각 홀들(548)의 직경은 바람직하게는 약 1 mm 이상이고, 더욱 바람직하게는 약 2.5 mm 내지 3.5 mm이며, 일실시예에서는 약 3 mm이다. 도 7에 도시된 예시적인 실시예에서, 가스 주입기(540)는 약 282 mm2의 전체 홀 단면적에 대하여 총 40 개의 홀들(548)을 가진다. 더욱 일반적으로, 홀들(548)의 전체 단면적은 바람직하게는 약 30 mm2 이상이고, 더욱 바람직하게는 약 196 mm2 내지 약 385 mm2 이다.
도 8을 참조하면, 가스 주입기(540)의 각 부들(541 및 542)은 각각 가스 주입기(540)를 통하여 소스 가스들을 전달하기 위해 각각 이용가능한 내부 단면적(564 및 562)을 갖는다. 바람직하게는, 각각의 내부 단면적들(564 및 562)은 적어도 약 100 mm2이다. 도시된 실시예에서, 가스 주입기(540)의 각 부들(541 및 542)의 단면적은 약 330mm2이다. 더욱 일반적으로는, 상기 각 부들(541 및 542)의 단면적은 바람직하게는 약 140 mm2 내지 600 mm2이고, 더욱 바람직하게는 약 225 mm2 내지 455 mm2이다.
도 8에 도시된 단면은 가스 주입기(540)의 하단부를 통하여 절취된 것이며, 공정 챔버(526)의 하단부 내부로 가스를 주입하기 위하여, 가스 주입기 부(541)에 제공된 한쌍의 주입구들(548)을 통하여 일직선을 이룬다. 바람직하게는, 각 가스 주입기부에서, 홀들(548)은 같은 높이에서 쌍들로 제공된다. 또한, 상기 두 홀들(548)은 방사상의 균일도를 향상시키기 위하여, 약 60 도 내지 120 도를 이루는 각을, 예시된 바와 같이 90 도를 형성하는 2 개의 방향(566 및 568)으로 전구체 가스를 주입한다. 또한, 도시된 바와 같이, 가스 주입기(540)를 포함하는 튜브들은 바람직하게는, 수평 방향의 단면도로 도시된 바와 같이, 편장(oblong) 형태를 갖는다. 바람직하게는 상기 편장 형태의 장측의 크기는 공정 챔버(526)의 중심부와 대면하여, 즉, 상기 편장 형태의 더 큰 치수를 갖는 측부는 상기 공정 챔버(526)의 중심으로부터 방사상으로 연장된 가상선에 수직한다.
바람직한 실시예에서, CVD 모드에서, 2 성분 막의 2 개의 구성 원자들을 제공하는 2개의 전구체 소스 가스가 공급 도관 연결부들(도 7의 545 및 546)을 통하여 가스 주입기(540)에 들어가기 전에 가스 공급 시스템(미도시)에서 혼합된다. 상기 가스 공급 시스템에서 미리 혼합된 상기 전구체 가스들은 보트(boat)의 높이에 걸쳐 주입된 가스가 균일한 조성을 갖도록 보장할 수 있는 하나의 방법에 해당한다. 그러나, 상기 가스는 미리 혼합되지 않고 공정 챔버(도 6의 526) 내부로 유입될 수 있다. 다른 실시예에서, 상기 2 개의 전구체 가스들이 분리된 자신의 가스 주입기(540)를 통하여 각각 주입되어, 반응 공간(529) 내부에 주입된 후에 처음으로 혼합될 수도 있다. 결론적으로, 반응 챔버(526) 내부에는 하나 이상의 가스 주입기(540)이 배치될 수 있음은 자명하다.
효과 측면에서, 2 개의 가스 주입기 부들(541 및 542)을 사용하는 것은 조절 가능성을 더욱 증가시킬 수 있다. 서로 다른 가스 주입기 부들(541 및 542)에 공급되는 흐름들은 반응 공간(529) 내부로 흐르는 가스 흐름을 미세 조절하기 위해 다르게 선택될 수 있다. 이로 인하여, 웨이퍼 로드(도 6의 550)의 높이(560)에 걸쳐 전구체의 증착 속도의 균일도가 개선될 수 있다.
당업자라면 상기 배치형 반응로에 대한 또 다른 공지된 변형과 상기 배치형 반응로의 조작 방법이 본 공정의 성능을 개선시키기 위해 적용될 수 있음을 이해할 수 있다. 예를 들면, 홀더 보트 또는 링 보트(즉, 상기 보트에 삽입된 분리된 웨이퍼 홀더 또는 링 형태의 홀더에 의해 각각의 웨이퍼가 개별적으로 지지되는 웨이퍼 보트)가 사용될 수 있다.
상술한 하드웨어 구성들은 펄스형 CVD와 ALD에 관하여 개시되어 있지만, 이들은 저압 화학기상증착(LPCVD)에서도 균등하게 사용될 수 있음은 자명하다.
TiN 증착
반응로의 반응 챔버 내에 하나 이상의 전구체 가스를 주기적으로 인입 또는 펄스 주입함으로써 배치형 반응로 내의 웨이퍼 상에 균일하고 낮은 저항을 갖는 TiN 막을 증착할 수 있음이 관찰될 것 있다. 바람직하게는, 안정적인 타이타늄 및 질소 전구체들, 즉 라디칼 또는 플라즈마가 아닌 전구체들을 이용하여 상기 TiN 막이 형성될 수 있다. 더욱 바람직하게는, 상기 타이타늄 및 질소 전구체로서, 각각, 타이타늄사염화물(TiCl4) 및 암모니아(NH3)가 사용된다.
일 실시예에서, 2 개의 전구체들(예를 들면, TiCl4 및 NH3)은 상기 반응 챔버 내로 교번하여 펄스 주입될 수 있으며, 바람직하게는 중간에 개재되는 퍼지 가스 주입 단계 또는 챔버 배기 단계가 함께 수행될 수 있다. 이 실시예에서(이에 관하여 도 9를 참조하여 후술함) 각 쌍의 펄스들은 하나의 사이클을 포함하며, 임의의 개수를 갖는 사이클들이 수행될 수 있다. 다른 실시예에서(이에 관하여는 도 10을 참조하여 후술함), 단지 하나의 전구체만이 펄스 주입되고 반면에 다른 전구체는 반응 챔버에 연속적으로 유입될 수 있다. 일부 바람직한 실시예에서는, 타이타늄 전구체, 예를 들면, TiCl4가 반응 챔버 내부로 펄스 주입되고, 질소 전구체, 예를 들면, NH3가 상기 챔버에 연속적으로 유입된다. 또 다른 실시예에서, 각 주기는 이하의 순서로, 타이타늄 및 질소 전구체들을 실질적으로 동시에 펄스 주입하는 단계, 퍼지 또는 배기 단계, 또 다른 질소 전구체를 펄스 주입하는 단계(이는 플러시(flush)라고도 지칭됨), 그리고, 이후 또 다른 퍼지 또는 배기 단계를 포함한다. 2 번째 단계로서 질소 전구체를 펄스 주입하는 단계 또는 플러시 단계는 이전의 타이타늄의 펄스 주입 단계로부터 잔류된 타이타늄과 질소를 더욱 완전히 반응시키기 위해 제공된다.
TiN 증착은 바람직하게는 약 600 ℃ 미만의 온도에서 수행될 수 있으며, 더욱 바람직하게는, 약 500 ℃ 미만의 온도, 예를 들면 약 450 ℃ 내지 500 ℃의 온도에서 수행될 수 있다. 따라서, 이러한 증착은 다층 알루미늄 또는 구리 배선과 같은 다른 공정과 부합한다. 또한, 상기 증착은 바람직하게는 산업 표준의 200 mm 및 300 mm 웨이퍼 상에 박막을 증착하는데에 사용될 수 있다.
일부 바람직한 실시예에서는, 배치형 반응 챔버 내에 수직으로 이격된 기판들, 예를 들면 반도체 웨이퍼들의 스택이 수용될 수 있으며, TiN의 ALD 공정 중에 TiCl4 및 NH3와 같은 타이타늄 및 질소 전구체들의 순간적으로 분리된 펄스가 교번하여 그리고 순차적으로 상기 반응 챔버로 공급된다. 도 9는 TiCl4 및 NH3의 교번하는 펄스 주입에 관한 실시예를 도시하는 반응종의 유량 그래프이다. 도시되지는 않았으나, 상기 반응종들의 교번하는 퍼스 주입들 사이의 간격 동안에 챔버 퍼지 또는 배기 단계가 수행될 수 있음은 자명하다. 도 9에서는 간명하도록 TiCl4와 NH3를 분리하여 도시하였다. 바람직하게는, 사이클링 순서는 질소 전구체 가스(예를 들면, NH3)의 펄스 주입으로 종료된다. 즉, 마지막 TiCl4 펄스 주입 이후에, 바람직하게는, 추가적인 NH3 펄스 주입이 수행되고, 다시 TiCl4 펄스 주입이 후속되지는 않는다.
TiN의 증착 속도는 NH3의 가스 분압의 변화에 특히 민감하다는 것이 관찰되었다. 그 결과, NH3는 바람직하게는, NH3가 균일하게 분포하도록 수직으로 분포된 홀들을 구비하는 가스 주입기를 사용하여 챔버 내부로 유입된다. 바람직하게는, 각 반응종은, 다른 반응종이 인입되기 전에, 예를 들면 불활성 가스로 퍼지하거나 반응 챔버를 배기시킴으로써 제거된다. 각 펄스 주입의 지속 시간은 약 60 초 이하일 수 있으며, 더욱 바람직하게는 약 30 초 이하이고, 더욱 더 바람직하게는, 약 15 초 이하일 수 있다.
반응 챔버 내에 TiCl4 및 NH3를 연속적으로 유입함으로써, TiN 막이 연속적인 CVD에 의해 형성되는 경우, 상기 TiN 막의 증착 속도는 TiCl4의 분압에 따라 상당히 변화하는 것은 아닌 것이 확인되었다. 한편, 상기 증착 속도는 NH3의 분압에 대략 비례하는 것이 관찰되었다. 균일한 박막의 증착하기 위하여, 이러한 관찰 결과는 상기 반응 챔버 내부의 NH3의 인입 및 분포 방식이, TiCl4 에 대하여 상기 챔버 내부로 NH3가 펄스 주입되는지 여부, 예를 들면, NH3가 ALD 또는 펄스 방식의 CVD 공정에 사용되는지 여부보다 더 중요하다는 것을 가리킨다. 그 결과, 상술한 바와 같이, NH3는 바람직하게는 상기 챔버 내에서 상기 가스의 분포 균일도를 극대화시킬 수 있는 방법으로 상기 반응 챔버 내부로 공급된다. 특히, NH3는, 바람직하게는, 예를 들면 상술한 바와 같이 수직으로 이격된 복수의 홀들을 가지는 멀티플 홀 주입기를 통하여, 수직으로 분포시키는 방법으로 수직형 퍼니스 반응 챔버 내부로 공급될 수 있다. 바람직하게는, 상기 주입기는 실질적으로 상기 챔버의 높이 상으로 연장되어, 상기 주입기의 홀들이 기판에 의해 점유된 수직 높이에 상당하는 폭을 가질 수 있다. TiCl4는 또한 멀티플 홀 주입기를 사용하여 공급되거나 반응 챔버의 공급단에서 공급될 수 있다(도 2).
다른 실시예들에서, 질소 전구체, 예를 들면, NH3는 반응 챔버로 연속적으로 공급되고, 타이타늄 전구체, 예를 들면, TiCl4만이 펄스 방식으로 공급되어, TiN의 CVD 증착이 수행될 수 있다. 도 10은 TiCl4의 펄스 유입과 NH3의 연속적인 유입에 관한 실시예를 도시하는 반응종의 유량 그래프이다. 도 10에서, TiCl4 및 NH3의 흐름은 상대적인 유량 값을 더욱 잘 이해하기 위해 부가되었다. 바람직하게는, TiCl4와 NH3가 모두 교번하여 펄스 주입되는 개념과 비교시, 이러한 증착 개념은 박막 품질을 저해시키지 않고서도 반응종의 펄스 주입당 증착 속도를 증가시킬 수 있다. 하나의 전구체를 연속적으로 유입시킴으로써, 일반적으로, TiCl4 펄스 주입당 TiN으로 이루어진 하나 이상의 단일층(monolayer)이 증착된다. 또한, 타이타늄 전구체의 펄스 주입이 상대적으로 짧은 경우에는, 증착된 타이타늄 함유 박막이 타이타늄 전구체의 펄스 주입 사이의 질소 전구체의 유입에 의해 효과적으로 질화된다. 따라서, 바람직하게는 약 600 ℃ 미만의 상대적으로 낮은 온도에서, 더욱 바람직하게는 500 ℃ 민의, 예를 들면 약 450 ℃에서 고품질이고 저저항인 균일한 TiN 박막이 얻어질 수 있다. 바람직하게는, 펄스 지속시간은 약 60 초 이하일 수 있으며, 더욱 바람직하게는, 약 30 초 이하이며, 가장 바람직하게는, 약 15초 이하이다.
또 다른 실시예들에서, 각 증착 사이클은, 이하의 순서에 따라, 타이타늄 및 질소 전구체를 실질적으로 동시에 펄스 주입하는 단계, 퍼지 또는 배출 단계, 또 한번 질소 전구체를 펄스 주입하는 단계, 그리고 이후 또 한번 퍼지 또는 배출 단계를 포함한다. 도 11은 TiCl4 및 NH3의 펄스 주입에 관한 실시예를 도시하는 반응 종의 유량 그래프이다. 도 11은 명확하도록 TiCl4와 NH3가 독립적으로 유입되는 것을 도시하였다. 본 실시예에서, TiCl4의 펄스 주입 단계(200)는 NH3의 펄스 주입 단계(210)와 실질적으로 동시에 일어난다. 그러나, NH3의 추가적인 펄스 주입 단계("암모니아 플러시"라고도 지칭됨)는 결합된 또는 동시의 펄스 주입들(200, 210) 시에서 순간적으로 일어난다. 도시하지는 아니하였으나, 상기 결합된 펄스 주입 단계(200, 210) 후에, 그리고 다음의 암모니아 플러시 단계(200, 210) 이전에 챔버 퍼지 또는 배출 단계가 수행될 수 있다. 또한, 상기 암모니아 플러시 단계(220) 후에, 그리고 다음의 결합된 펄스 주입 단계(200,210) 이전에 또 한번의 챔버 퍼지 또는 배출 단계가 수행될 수 있다. 즉, 상기 증착 사이클의 제 1 시간 간격 동안에 펄스 주입 단계(200, 210)가 일어나고, 상기 사이클의 제 2 시간 간격 동안에 펄스 주입 단계(220)이 일어난다면, 상기 퍼지 단계 또는 배기 단계들이 상기 제 1 간결과 제 2 시간 간격 사이에 수행되고, 상기 제 2 시간 간격 이후에 다시 수행될 수 있다.
효과 측면에서, 본 발명의 실시예들에 따르면, 고품질의 타이타늄 질화물 박막이 형성될 수 있다. 예를 들면, 증착된 타이타늄 질화물 박막의 두께는 기판들의 배치에서 기판들 사이에서 약 3 nm 미만 만큼만 변할 수 있으며, 저항은 약 5 μOhm·cm 미만까지 변할 수 있다. 또한, 상기 박막은 약 220 μOhm·cm이하의 저저항을 갖는 박막이 형성될 수 있다.
효과 측면에서, 낮은 증착 온도(예를 들면, 450 ℃)에서, 웨이퍼에 걸친 평 균 박막 두께는 웨이퍼들 사이에서 예외적으로 균일한 것으로 관찰되어, 배치형의 웨이퍼들 내의 다양한 웨이퍼들 사이에서 약 3 nm 미만으로 상기 평균 박막 두께가 변한다. 이러한 온도에서, 박막의 평균 저항은 바람직하게 균일하고 배치 형태의 다양한 웨이퍼들 사이에서 약 220 μOhm·cm 미만으로 변하는 것이 관찰되었다.
전구체의 펄스 주입 시간이 박막 두께와 저항에 영향을 미친다는 것은 자명하다. 전체 TiCl4 노출 시간이 변하지 않는 경우에 더 긴 펄스 주입 시간이 증착된 박막의 두께를 증가시키거나 어쩌면 이에 영향을 미치지 않는 것으로 예측되는 반면, 약 30 초보다 더 긴 펄스 주입 시간이 실제로 평균 박막 두께를 (일부 실험에서는, 약 23.5 nm에서 약 23 nm 까지) 감소시킬 수 있다는 것이 예기치 않게 관찰되었다. 심지어 더욱 예기치 못한 것으로서, 증착된 박막의 평균 두께는 펄스 주입 시간에 강하게 의존하는 것이 관찰되었다. 특히, 일부 실시예에서, 박막의 저항은 약 15 초의 TiCl4 펄스 주입 시간의 경우 약 220 μOhm·cm 에서 약 60 초의 TiCl4 펄스 주입 시간의 경우 약 520 μOhm·cm까지 증가하는 것이 관찰되었다. 따라서, 더 짧은 펄스 주입 시간이 바람직하게는 감소된 저항, 예를 들면, 약 220 μOhm·cm 또는 그 미만인 저항을 갖는 TiN 박막의 증착이 가능하도록 한다.
2 개의 반응종들이 모두 펄스 주입되는 경우에, 상기 2 개의 반응종들에 대한 펄스 주입 시간은 동일하거나 각각 서로 다른 펄스 주입 시간을 가질 수도 있다. 또한, 반응종들 중 하나 또는 이들 모두가 펄스 주입되는 경우에, 상기 펄스 주입의 지속 시간은 증착 동안 동일하게 유지되거나 증착 기간에 걸쳐 변할 수도 있다.
또한, 사이클 지속 시간이 소정의 TiN 박막의 저항을 얻기 위해 선택될 수도 있다. 예를 들면, TiCl4 펄스 주입 시간을 (예를 들면, 약 15 초 내지 60 초로) 적절히 조절하거나, 공정 가스의 각 사이클의 지속 시간을 (예를 들면, 약 1 내지 10 분으로) 조절하여, 약 520 μOhm·cm 내지 약 220 μOhm·cm 의 저항을 얻을 수 있다.
실리콘 증착
상술한 바와 같이, TiN 층이 증착되기 이전에 또는 그 이후에 배치형 반응로 내의 웨이퍼 상으로 실리콘 층이 증착될 수 있다. 상기 실리콘 층은 비정질 실리콘을 포함할 수 있으며, CVD에 의해, 바람직하게는 낮은 온도 또는 상기 TiN 층이 증착되는 온도와 동일하거나 비교적 근방의 온도에서 증착될 수 있다. 증착된 실리콘 및 TiN층은 서로 직접 접촉하여(예를 들면, 바로 인접하여) 증착될 수 있다. 상기 실리콘 층은 보호용 캡핑막으로서 상기 TiN 층 상에 증착될 수 있다. 선택적으로는, 상기 TiN층은 상기 실리콘층 상에 증착될 수 있다.
TiN 증착과 실리콘 증착 단계 사이에서, 이미 증착된 층들의 해당 전구체의 과량은 퍼지 가스의 주입에 의해, 챔버의 배출 공정에 의해, 이전의 전구체 가스를 반응 종을 운반하는 가스에 의해 이동시키거나 이들의 조합에 의해, 챔버로부터 제거될 수 있다. 이전의 전구체 가스가 퍼지 단계에 의해 제거되는 경우에, 상기 공 정 챔버는 바람직하게는 챔버 내의 분위기가 적어도 한번 교체되기에 충분한 정도로 긴 지속 시간동안 퍼지될 수 있다.
실리콘 전구체로서, 바람직하게는, 사일랜(silane)이 사용된다. 상기 사일랜은 모노사일랜(SiH4), 폴리사일랜(polysilane) 및 사일랜 염화물(SiH4-nCln, 여기서 n = 1 내지 4임)으로 이루어진 군으로부터 선택될 수 있다. 더욱 바람직하게는, 하기한 바와 같이, 상기 실리콘 층을 형성하기 위한 실리콘 전구체로서 폴리사일랜이 사용된다. 본 명세서에서 사용되는 바와 같이, "폴리사일랜"은 SinH2n +2의 화학식을 가지며, 여기서 n은 2 내지 4이다. 바람직하게는, 상기 폴리사일랜은 디사일랜(disilane) 또는 트리사일랜(trisilane)이다. 더욱 바람직하게는, 상기 폴리사일랜은 트리사일랜이다. 결론적으로, 본 발명의 실시예들이 트리사일랜에 의한 CVD 사이클을 사용하는 관점에서 개시되고 있지만, 당업자라면, 본 명세서의 개시 사항을 고려하여, 개시된 이점들이 다른 전구체들 및/또는 다른 증착 기술의 경우에도 얻어질 수 있음을 이해할 수 있다.
트리사일랜(H3SiSiH2SiH3 또는 Si3H8)은 미국 특허공개 제2005/0118837 A1호 및 미국 특허 제6,962,859호에 개시된 바와 같이, 실리콘 전구체로서 사용되는 경우에 중요한 이점들을 제공한다. 예를 들면, 트리사일랜의 경우, 사일랜(SiH4)과 같은 다른 실리콘 전구체의 경우에 비해 상당히 낮은 온도에서도 박막이 증착될 수 있으며, 이것은 바람직하게도 낮은 온도 범위(예를 들면, 400 내지 500 ℃)에서 TiN과 실리콘을 인시츄로 증착하는 것이 가능하게 한다. 또한, 트리사일랜 경우의 증착 속도는 기판 재료와 두께에 상대적으로 둔감하다. 또한, 트리사일랜은 극도로 짧은 박막 핵 생성 시간을 가지며, 이것은 국지화된 결정질의 실리콘 증착물의 크기를 감소시킨다. 그 결과, 증착된 실리콘 박막은 박형화될 수 있으면서도 균일해질 수 있다. 또한, 박막은 상기 국지화된 실리콘 증착물의 감소된 크기로 인하여 감소된 표면 거칠기를 나타낼 수 있다. 또한, 공정 처리량과 관련하여, 트리사일랜은 사일랜에 비하여 더 높은 증착 속도를 나타낸다. 또한, 트리사일랜은 사일랜의 경우보다 더 낮은 공정 온도를 사용할 수 있게 하므로 열적 부담(thermal budget)을 감소시킨다.
따라서, 본 명세서에 개시된 증착 방법에서, 트리사일랜을 사용하면 다양한 이점이 제공될 수 있다. 예를 들면, 이러한 증착 방법은 단일한 반응 챔버 내에서 TiN과 실리콘 층들의 인시츄 증착을 가능하게 한다. 또한, 이들 방법에 의해 균일하게 얇고 연속적인 실리콘 함유 화합물 박막을 생성할 수 있다. 또한, 이러한 이점은 차례로 더 높은 생산성으로 소자가 제조될 수 있게 하며, 더 작은 회로 치수 및/또는 더 높은 신뢰성을 갖는 새로운 소자의 제조를 가능하게 한다.
상기 실리콘 전구체는 바람직하게는 공급 가스의 형태로 또는 공급 가스의 성분(component)으로서 공정 챔버에 인입된다. 상기 공급 가스는 불활성 운반 가스와 같이 실리콘 전구체가 아닌 가스를 포함할 수 있다. 상기 운반 가스는 질소, 수소, 헬륨, 아르곤 또는 이들의 다양한 조합과 같은, 당해 기술 분양에 공지된 운반 가스들을 포함할 수 있다. 상기 실리콘 전구체가 트리사일랜인 경우에, 상기 트리사일랜은 바람직하게는 트리사이렌 증기를 태우는 운반 가스와 함께 사용되는 버블러에 의해 상기 챔버 내부로 인입된다. 더욱 바람직하게는, 온도 조절이 되는 버블러가 사용된다.
실리콘층의 형성시, 실리콘 전구체로부터 증착은 당해 기술 분야에 공지된 다양한 증착 방법에 따라 수행될 수 있지만, 본 명세서에 교시된 CVD 방법에 따라 증착이 수행되는 경우에 최대의 이점을 얻을 수 있다. 개시된 방법은 플라즈마 강화 화학기상증착법(PECVD) 또는, 더욱 바람직하게는, 열 CVD를 포함하는 CVD 공정을 사용하여 실시될 수 있다.
증착 조건은 기판이 로딩되는 특정 유형의 반응로에서 처리될 수 있도록 조절된다. 일반적으로, 증착 조건은 뜨거운 기판 표면 상에서 실리콘 전구체를 열분해시키거나 분해하기 위한 충분한 에너지를 제공하기 위해 수립된다.
또한, 증착 조건들은 바람직하게는 상기 실리콘 전구체의 증착 속도가 실리콘 증착 속도에 대한 제한 변수(limiting variable)가 되도록 수립될 수 있다. 따라서, 고도로 균일한 온도 분포를 달성할 수 있는 핫월 반응로의 효용은 바람직하게는 균일한 층들을 형성하기 위하여 적용될 수 있다. 반응 역학적으로 제한된 조건들 하에서 수행되는 증착 공정들은 온도 변화에 민감한 반응 속도를 가지는 반면에, 높은 온도 균일도를 달성할 수 있는 능력은 이러한 민감도의 효과를 최소화한다. 또한, 반응 역학적으로 제한된 조건들은 바람직하게는 공급된 반응종의 농도에 상대적으로 둔감한 증착 속도를 가진다.
반응 역학에 의해 제한되는 영역은 주로 비교적 낮은 온도의 사용에 의해 달성될 수 있음은 자명하다. 이에 의해, 배치형 퍼니스에서 바람직한 감소된 박막 증착 속도가 얻어진다. 크기가 큰 배치로 인하여, 반응 속도 제한 영역으로 하향 이동한 온도에 의해 초래된 반응 속도에서도 적정한 처리량이 여전히 얻어질 수 있다. 바람직하게는, 트리사일랜은 낮은 온도에서 허용될 정도의 증착 속도를 달성할 수 있으므로, 열적 부담을 상당히 감소시킬 수 있다. 당업자라면, 임계 치수가 스케일 다운될수록 열적 부담이 일정하게 감소되고, 확산 공차(tolerance)가 감소되며, 열적 공정에 더 작은 내성을 갖는 새로운 재료들이 적용될 수 있다는 것을 알 수 있다. 실리콘 증착 공정은 바람직하게는 약 600 ℃ 미만의 온도에서, 더욱 바람직하게는, 약 525 ℃ 미만의 온도에서, 더욱 바람직하게는 약 500 ℃ 미만의 온도에서, 더욱 바람직하게는 475 ℃ 미만의 온도에서 수행된다. 상기 실리콘은 약 300 ℃ 내지 약 500 ℃의 온도에서 증착될 수 있다.
온도와 함께, 당업자라면, 반응 역학 영역(kinetic regime)이 반응종의 공급 또는 실리콘 전구체의 분합에 부분적으로 의존함을 알 수 있다. 바람직하게는, 반응 속도는 반응 종이 공급되는 속도 보다 더 작다.
증착된 실리콘 박막의 두께는, 당해 기술 분야에 공지된 바와 같이, 그 응용하는 바에 따라, 소정의 증착 파라미터들(예를 들면, 총압력 및 온도)을 위한 증착 시간 및/또는 가스 유속을 변경함으로써 변경될 수 있다.
실리콘 층은 우선 실리콘 전구체, 바람직하게는 트리사일랜을 유입시킴으로써 증착된다. 지적한 바와 같이, 공정 조건은 바람직하게는 반응 역학 영역에서 증착이 수행되도록 조절될 수 있다. 상기 공정은 바람직하게는 약 600 ℃ 미만의 온도에서, 더욱 바람직하게는 약 500 ℃ 미만의 온도에서, 더욱 더 바람직하게는, 약 400 ℃ 내지 450 ℃ 사이의 온도에서 수행된다. 또한, 반응종의 공급 또는 트리사일랜의 분압은 바람직하게는, 반응 역학 영역에서 증착 공정이 유지될 수 있도록 충분히 낮은 수준에서 설정될 수 있다. 반응 속도가 반응종이 공급되는 속도보다 낮은 한, 적절하게 조절된 배치형 퍼니스(균일한 온도가 유지됨) 내의 균일도는 우수하다. 이와 관련하여, Sze 저의 1988년판 VLSI TECHNOLOGY, 240-41 쪽을 참조할 수 있다. 예시된 배치형 반응로들에서, 공정 압력은 약 10 Torr 이하로, 바람직하게는 약 1 Torr. 이하로 유지된다. 반응 속도가 제한된 증착 공정(reaction rate limited deposition)을 유지하기 위하여, 트리사일랜은 바람직하게는 약 100 sccm 미만으로, 더욱 바람직하게는 약 20 sccm 미만으로 공급된다. 일반적으로, 상기 트리사일랜은 N2, H2, Ar 또는 He과 같은 비반응성 또는 불활성 가스의 흐름으로 희석된다. 따라서, 상기 트리사일랜의 분압은 바람직하게는 약 10 mTorr 미만이며, 더욱 바람직하게는 약 3 내지 4 mTorr 의 범위이다. 바람직하게는, 트리사일랜 증착 단계는 약 30 내지 120 초의 지속 시간을 가진다.
또한, 실리콘 증착 및 (상술한) TiN 증착은 바람직하게는 전반적으로 등온 조건(isothermal conditions) 하에서 수행된다. 즉, 상기 TiN 증착은 제 1 평균 온도에서 수행되고, 상기 실리콘 증착은 제 2 평균 온도에서 수행되며, 이로 인하여, 상기 제 1 및 제 2 온도는, 있다면, 바람직하게는 100 ℃ 미만 정도로, 더욱 바람직하게는 50 ℃ 미만 정도로, 더욱 더 바람직하게는 20 ℃ 미만 정도로 차이가 있다. "평균 온도"는 시간 평균화된 온도(time-averaged temperature)를 지칭하 며, 증착 공정 동안 온도가 변동할 가능성을 고려한다. 동일하거나 유사한 평균 온도에서 상기 실리콘 증착과 TiN 증착 공정을 수행하는 것은 증착 공정들 사이에 온도를 상당히 조절하거나 기판들을 다른 반응로로 이송할 필요가 없기 때문에 공정을 단순화한다. 일실시예에서, TiN 및 실리콘 증착 단계는 모두 약 400 내지 550 ℃ 내의 온도에서, 바람직하게는 약 450 내지 500 ℃의 온도에서 수행된다.
효과 측면에서, 상술한 TiN 및 실리콘의 인시츄 증착 공정은, 허용될 수 없는 정도에 상당하는 파티클들을 생성시키지 않고서도, 비교적 좁은 온도 범위에서 수행될 수 있다. 상술한 바와 같이, 상당히 다른 온도에서 인시츄 공정을 수행하기 위해 사용되는 챔버는, 상기 공정 중 하나가 실리콘 증착 공정인 경우, 주로 상기 실리콘과 챔버 월과 같은 반응 챔버의 다른 부분들 사이에서 발생하는 열팽창과 열수축의 차이에 의해, 허용될 수 없는 파티클 생성을 초래한다. 또한, 실리콘 및 TiN은 열팽창 및 열수축에 있어서 상당히 달라, 서로 인접하는 TiN과 실리콘 층들의 문제점을 악화시킨다. 그러나, 개시된 본 발명의 실시예들은 TiN 및 실리콘층들이 증착되는 비교적 좁은 온도 범위로 인하여, 이러한 문제점들이 실질적으로 극복될 수 있다.
실험예
이하에서는, 배치형 반응 챔버에서 복수의 반도체 상에 TiN 및 비정질 실리콘층들을 인시츄 증착하는 일실시예의 공정 조건들을 설명하기로 한다. 펄스형 VD TiN 증착의 경우, 반응 챔버 내의 온도는 약 450 ℃ 이고, 압력은 약 200 mTorr일 수 있다. 타이타늄 전구체는 TiCl4이고, 질소 전구체는 NH3일 수 있다. 상기 TiCl4는 N2 운반 가스에 의해 상기 챔버로 전달될 수 있다. TiCl4 펄스 주입 동안 TiCl4의 유량은 약 1.5 g/min이고, 상기 N2 운반 가스의 유량은 약 200 sccm일 수 있다. 증착 단계 동안의 상기 NH3의 유량은 약 0.19 slm일 수 있다. 상기 TiCl4의 펄스 주입의 지속 시간은 15초, 30초 또는 60초일 수 있다.
상술한 바와 같이, 상기 TiN 증착은 이하의 세가지 방법으로 수행될 수 있다: (1) 상기 반응 챔버 내부로 TiCl4 및 NH3 전구체들을 교번하여 펄스 주입하며, 바람직하게는 이들 단계 사이에 퍼지 또는 배기 단계와 함께 수행하는 단계; (2) 상기 반응 챔버 내로 (NH3와 같은) 어느 하나의 전구체는 연속적으로 유입시키고, (TiCl4와 같은) 다른 하나의 전구체는 펄스 주입하는 단계; 및 (3) 이하의 사이클을 반복하는 단계, 즉, TiCl4 및 NH3를 실질적으로 동시에 펄스 주입하는 단계, 퍼지 또는 배기 단계, (암모니아 플러시로도 알려진) 또 한번의 NH3 펄스 주입 단계, 그리고 이후의 또 한번의 퍼지 또는 배기 단계를 수행한다. 상기 암모니아 플러시 단계 동안에 NH3 흐름의 유량은 약 1 slm일 수 있다.
비정질 실리콘으로 이루어진 캡핑 막은 상기 TiN 층 상에 인시츄로 증착될 수 있다. 상기 반응 챔버의 온도 및 압력은, 각각, 약 450 ℃ 및 약 200 mTorr로 유지될 수 있다. 약 1 slm의 유량으로 흐르는 N2 운반 가스와 함께, 트리사일랜은 약 60 sccm의 유량으로 상기 챔버 내로 연속적으로 주입된다.
따라서, 당업자에게 있어서, 본 발명의 사상으로부터 벗어남이 없이 상술한 방법들과 구조들에 대한 다양한 다른 생략, 추가 및 수정이 이뤄질 수 있음은 자명하다. 모든 이러한 수정과 변형은 첨부된 청구항들에 의해 한정된 바와 같은 발명의 범위 내에 해당한다.
도 1은 배치형 반응로 내의 기판 상에 TiN 및 실리콘의 인시츄 증착 방법을 설명하기 위한 순서도이다.
도 2는 본 발명의 실시예들에 적용될 예시적인 퍼니스를 도시한다.
도 3은 본 발명의 실시예들에 적용될 예시적인 액상 전달 시스템을 도시한다.
도 4는 본 발명의 실시예들에 적용될 다른 예시적인 퍼니스를 도시한다.
도 5는 본 발명의 실시예들에 적용될 또 다른 예시적인 퍼니스를 도시한다.
도 6은 본 발명의 일실시예에 따라 제조된, 가스 주입기를 구비한 연장된 배치형 공정 튜브를 개략적으로 도시하는 측단면도이다.
도 7은 도 6의 배치형 공정 튜브에 적용될 가스 주입기의 정면도이다.
도 8은 도 7의 가스 주입기의 수평 단면도이다.
도 9는 일 실시예에 따른 TiN 증착 방법을 설명하기 위한 반응종의 유량 그래프이다.
도 10은 다른 실시예에 따른 TiN 증착 방법을 설명하기 위한 반응종의 유량 그래프이다.
도 11은 또 다른 실시예에 따른 TiN 증착 방법을 설명하기 위한 반응종의 유량 그래프이다.

Claims (29)

  1. 공정 챔버 내부로 반도체 웨이퍼들의 배치(batch)를 로딩하는 단계;
    상기 공정 챔버 내에서 상기 웨이퍼들 상에 타이타늄 질화물(TiN)을 증착하는 단계; 및
    상기 공정 챔버 내에서 상기 웨이퍼들 상에 실리콘을 증착하는 단계를 포함하며,
    상기 증착하는 단계들 사이에서 상기 공정 챔버로부터 상기 웨이퍼들을 제거하지 않는 반도체 웨이퍼의 처리 방법.
  2. 제 1 항에 있어서,
    상기 실리콘을 증착하는 단계는 상기 타이타늄 질화물을 증착하는 단계 이후에 수행되는 반도체 웨이퍼의 처리 방법.
  3. 제 1 항에 있어서,
    상기 타이타늄 질화물을 증착하는 단계는 상기 실리콘을 증착하는 단계 이후에 수행되는 반도체 웨이퍼의 처리 방법.
  4. 제 1 항에 있어서,
    상기 타이타늄 질화물을 증착하는 단계는 제 1 평균온도에서 수행되고, 상기 실리콘을 증착하는 단계는 제 2 평균온도에서 수행되며,
    상기 제 1 및 제 2 평균온도는 서로 100 ℃ 이내에 있는 반도체 웨이퍼의 처리 방법.
  5. 제 4 항에 있어서,
    상기 제 1 및 제 2 평균온도는 서로 50℃ 이내에 있는 반도체 웨이퍼의 처리 방법.
  6. 제 1 항에 있어서,
    상기 타이타늄 질화물을 증착하는 단계 및 상기 실리콘을 증착하는 단계는 모두 약 400 ℃ 내지 550 ℃ 범위 내의 온도들에서 수행되는 반도체 웨이퍼의 처리 방법,
  7. 제 6 항에 있어서,
    상기 타이타늄 질화물을 증착하는 단계 및 상기 실리콘을 증착하는 단계는 모두 약 450 ℃ 내지 500 ℃ 범위 내의 온도들에서 모두 수행되는 반도체 웨이퍼의 처리 방법,
  8. 제 1 항에 있어서,
    상기 실리콘을 증착하는 단계는 상기 공정 챔버를 통하여 트리사일 랜(trisilane)을 유입시키는 단계를 포함하는 반도체 웨이퍼의 처리 방법.
  9. 제 1 항에 있어서,
    상기 반도체 웨이퍼들의 배치를 로딩하는 단계는 서로 이격되어 전체적으로 평행한 웨이퍼들의 배열을 제공하는 단계를 포함하고,
    상기 타이타늄 질화물을 증착하는 단계 및 상기 실리콘을 증착하는 단계는 가스 주입기 튜브들을 통하여 전구체 가스를 유입시키는 단계를 포함하고,
    상기 각각의 가스 주입기 튜브는 상기 공정 챔버 내에 배치되고, 상기 웨이퍼들에 실질적으로 수직하게 배향되고,
    상기 각각의 가스 주입기 튜브는 상기 웨이퍼들의 상기 배열 길이의 대부분을 따라 연장되며,
    상기 각각의 가스 주입기 튜브는 그 길이를 따라 복수의 가스 주입기 홀들을 가지는 반도체 웨이퍼의 처리 방법.
  10. 제 9 항에 있어서, 상기 반도체 웨이퍼들의 배치를 로딩하는 단계는 서로 수직 방향으로 이격되어 전체적으로 수평 방향인 웨이퍼들의 배열을 제공하는 단계를 포함하며,
    상기 각각의 가스 주입기 튜브는 상기 웨이퍼들의 배열 높이의 대부분을 따라 실질적으로 수직하게 배향되어 연장된 반도체 웨이퍼의 처리 방법.
  11. 제 9 항에 있어서, 상기 타이타늄 질화물을 증착하는 단계는,
    상기 주입기 튜브들 중 제 1 주입기 튜브를 통하여 타이타늄 전구체 가스의 분리된 복수의 펄스들을 유입시키는 단계; 및
    상기 주입기 튜브들 중 제 2 주입기 튜브를 통하여 질소 전구체 가스의 분리된 복수의 펄스들을 유입시키는 단계를 포함하며,
    상기 질소 전구체 가스의 펄스들 각각은 상기 타이타늄 전구체 가스의 펄스들 중 연속하는 2 개의 펄스들 사이에서 일시적으로 수행되는 반도체 웨이퍼의 처리 방법.
  12. 제 11 항에 있어서,
    상기 타이타늄 질화물을 증착하는 단계는, 분리된 복수의 시간 간격들 동안에, (1) 상기 공정 챔버 내부로 퍼지가스를 유입시키는 단계, 및 (2) 상기 공정 챔버를 배기시키는 단계 중 하나를 더 포함하며,
    상기 시간 간격들 각각은, 상기 전구체 가스들 중 하나의 펄스 이후와 상기 전구체 가스들 중 다른 하나의 바로 후속하는 펄스 이전에 배치되는 반도체 웨이퍼의 처리 방법.
  13. 제 11 항에 있어서,
    상기 타이타늄 질화물을 증착하는 단계는 상기 타이타늄 전구체 가스의 펄스들 중 마지막 펄스 이후에, 상기 제 2 주입기 튜브를 통하여 상기 질소 전구체 가 스의 추가적인 펄스를 유입시키는 단계를 포함하며,
    상기 추가적인 질소 전구체 가스의 펄스 이후에, 또 다른 타이타늄 전구체 가스의 펄스가 후속하지 않는 반도체 웨이퍼의 처리 방법.
  14. 제 9 항에 있어서,
    상기 타이타늄 질화물을 증착하는 단계는 상기 주입기 튜브들 중 제 1 주입기 튜브를 통하여 상기 질소 전구체 가스를 유입시키는 단계; 및
    상기 질소 전구체 가스를 유입시키면서, 상기 주입기 튜브들 중 제 2 주입기 튜브를 통하여 타이타늄 전구체 가스의 분리된 복수의 펄스들을 유입시키는 단계를 포함하는 반도체 웨이퍼의 처리 방법.
  15. 제 9 항에 있어서,
    상기 타이타늄 질화물을 증착하는 단계는,
    (a) 제 1 시간 간격 동안, 상기 주입기 튜브들 중 제 1 주입기 튜브를 통하여 상기 질소 전구체 가스의 펄스를 유입시키는 단계; (b) 상기 제 1 시간 간격 동안, 상기 주입기 튜브들 중 제 2 주입기 튜브를 통하여 상기 타이타늄 전구체 가스의 펄스를 유입시키는 단계; 및 (c) 상기 제 1 시간 간격 이후의 제 2 시간 간격 동안, 상기 제 1 주입기 튜브를 통하여 상기 질소 전구체 가스의 펄스를 유입시키는 단계를 포함하며, 상기 타이타늄 전구체 가스는 상기 제 2 시간 간격 동안 상기 공정 챔버로 전달되지 않는 사이클에 따라, 질소 및 타이타늄 전구체 가스들을 유 입시키는 단계를 포함하는 반도체 웨이퍼의 처리 방법.
  16. 제 15 항에 있어서, 상기 사이클은,
    상기 제 1 시간 간격과 상기 제 2 시간 간격 사이에 일시적으로, (d) 퍼지 가스로 상기 공정 챔버를 퍼지하는 단계 및 (e) 상기 공정 챔버를 배출시키는 단계 중 어느 하나; 및
    상기 제 2 시간 간격 이후에 일시적으로, (f) 상기 퍼지 가스로 상기 공정 챔버를 퍼지하는 단계 및 (g) 상기 공정 챔버를 배기시키는 단계 중 어느 하나를 더 포함하는 반도체 웨이퍼의 처리 방법.
  17. 제 15 항에 있어서,
    상기 타이타늄 전구체 가스는 타이타늄 사염화물(TiCl4)을 포함하며, 상기 질소 전구체 가스는 암모니아를 포함하는 반도체 웨이퍼의 처리 방법.
  18. 복수의 반도체 웨이퍼들을 수용하도록 구성된 공정 챔버;
    상기 챔버 내부로 타이타늄 전구체의 증기를 전달하기 위하여 상기 챔버와 연통된 타이타늄 전구체 소스;
    상기 챔버 내부로 질소 전구체의 증기를 전달하기 위하여 상기 챔버와 연통된 질소 전구체 소스; 및
    상기 챔버 내부로 실리콘 전구체의 증기를 전달하기 위하여 상기 챔버와 연통된 실리콘 전구체 소스; 및
    상기 챔버 내부로 상기 증기들의 전달을 선택적으로 제어할 수 있도록 구성된 밸브 시스템을 포함하는 장치
  19. 제 18 항에 있어서,
    상기 타이타늄 전구체는 타이타늄 사염화물을 포함하고, 상기 질소 전구체는 암모니아를 포함하며, 상기 실리콘 전구체는 트리사일렌을 포함하는 장치.
  20. 제 18 항에 있어서,
    상기 밸브 시스템 및 상기 챔버 내부의 온도를 제어하도록 구성된 증착 제어 시스템을 더 포함하며,
    상기 증착 제어 시스템은 서로 약 100 ℃ 범위 내에 있는 온도들에서, 상기 챔버 내부로 상기 전구체의 증기들 모두를 전달하도록 프로그래밍된 장치.
  21. 제 20 항에 있어서,
    상기 증착 제어 시스템은 서로 약 50 ℃ 범위 내의 온도들에서, 상기 챔버 내부로 상기 전구체의 증기들 모두를 전달하도록 프로그래밍된 장치.
  22. 제 18 항에 있어서,
    상기 밸브 시스템 및 상기 챔버 내부의 온도를 제어하도록 구성된 증착 제어 시스템을 더 포함하며,
    상기 증착 제어 시스템은 약 400 ℃ 내지 550 ℃ 범위 내의 온도들에서 상기 챔버로 상기 전구체의 증기들 모두를 전달하도록 프로그래밍된 장치.
  23. 제 22 항에 있어서,
    상기 증착 제어 시스템은 약 450 ℃ 내지 500 ℃ 범위 내의 온도들에서 상기 챔버 내부로 상기 전구체의 증기들 모두를 전달하도록 프로그래밍된 장치.
  24. 제 18 항에 있어서,
    상기 밸브 시스템을 제어하도록 구성되고, 상기 챔버 내부로 상기 타이타늄 전구체 증기 및 상기 질소 전구체 증기의 교번하는 펄스들을 전달하도록 프로그래밍된 증착 제어 시스템을 더 포함하는 장치.
  25. 제 24 항에 있어서,
    상기 증착 제어 시스템은, 상기 타이타늄 전구체 증기와 상기 질소 전구체 증기들의 교번하는 펄스들 사이에서 일시적으로, 퍼지 가스로 상기 챔버를 퍼지하거나 상기 챔버를 실질적으로 배기시키도록 더 프로그래밍된 장치.
  26. 제 18 항에 있어서,
    상기 밸브 시스템을 제어하도록 구성되고, 상기 챔버 내부로 실질적으로 일정한 상기 질소 전구체 증기의 흐름 및 상기 질소 전구체 증기의 흐름 동안 일련의 상기 타이타늄 전구체 증기의 펄스들을 전달하도록 프로그래밍된 증착 제어 시스템을 더 포함하는 장치.
  27. 제 18 항에 있어서,
    상기 밸브 시스템을 제어하도록 구성되고,
    (a) 상기 타이타늄 및 질소 전구체 증기들의 펄스들을 실질적으로 동시에 주입하는 단계; 및 (b) 상기 펄스들을 동시에 주입하는 단계 이후에, 상기 질소 전구체 증기의 펄스를 추가적으로 주입하는 단계의 순서를 갖는 복수의 사이클로, 상기 챔버 내부로 상기 전구체 증기들을 전달하도록 프로그래밍된 증착 제어 시스템을 더 포함하는 장치.
  28. 제 27 항에 있어서,
    상기 증착 제어 시스템은, 상기 순서를 갖는 각 사이클에서,
    (a) 상기 펄스들을 동시에 주입하는 단계 이후와 상기 펄스를 추가적으로 주입하는 단계 이전에, 퍼지 가스로 상기 챔버를 퍼지하거나 상기 챔버를 실질적으로 배출시키고,
    (b) 상기 펄스를 추가적으로 주입하는 단계 이후와 상기 순서를 갖는 다음 사이클 이전에, 퍼지 가스로 상기 챔버를 퍼지하거나 상기 챔버를 실질적으로 배기 시키도록 더 프로그래밍된 장치.
  29. 제 18 항에 있어서,
    상기 공정 챔버 내부에 배치되고, 전반적으로 서로 평행하고 이격된 웨이퍼들의 스택을 지지하도록 구성된 웨이퍼 보트(boat); 및
    상기 공정 챔버 내부에 각각 배치되고, 상기 웨이퍼들에 대하여 실질적으로 수직 방향으로 각각 배향된 복수의 가스 주입기 튜브들을 더 포함하며,
    상기 각각의 가스 주입기 튜브는 상기 웨이퍼들의 스택의 길이의 대부분을 따라 연장되고,
    상기 각각의 가스 주입기 튜브는 그 길이를 따라 복수의 가스 주입기 홀들을 가지며,
    상기 각각의 가스 주입기 튜브들은, 상기 반응 챔버 내부로 상기 전구체 증기들 중 어느 하나를 전달하기 위하여, 상기 전구체의 소스들 중 어느 하나에 유체 연통 상태(fluid communication)에 있는 장치.
KR20080044756A 2007-05-14 2008-05-14 실리콘 및 타이타늄 질화물의 인시츄 증착 KR101480529B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/748,364 US7629256B2 (en) 2007-05-14 2007-05-14 In situ silicon and titanium nitride deposition
US11/748,364 2007-05-14

Publications (2)

Publication Number Publication Date
KR20080100793A true KR20080100793A (ko) 2008-11-19
KR101480529B1 KR101480529B1 (ko) 2015-01-08

Family

ID=40027952

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20080044756A KR101480529B1 (ko) 2007-05-14 2008-05-14 실리콘 및 타이타늄 질화물의 인시츄 증착

Country Status (3)

Country Link
US (1) US7629256B2 (ko)
JP (1) JP5294694B2 (ko)
KR (1) KR101480529B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11746420B2 (en) 2010-03-25 2023-09-05 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
WO2010110263A1 (ja) * 2009-03-27 2010-09-30 東京エレクトロン株式会社 金属窒化膜の成膜方法および記憶媒体
US20100264506A1 (en) * 2009-04-17 2010-10-21 Olivier Evrard Light-Tight Silicon Radiation Detector
JP5589878B2 (ja) * 2011-02-09 2014-09-17 東京エレクトロン株式会社 成膜装置
JP5753450B2 (ja) * 2011-06-30 2015-07-22 東京エレクトロン株式会社 成膜装置
JP2014022594A (ja) * 2012-07-19 2014-02-03 Tokyo Electron Ltd 膜割れ検出装置及び成膜装置
US9512519B2 (en) 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
WO2015140933A1 (ja) 2014-03-18 2015-09-24 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
JP6319171B2 (ja) * 2014-07-28 2018-05-09 東京エレクトロン株式会社 成膜装置
JP6435967B2 (ja) * 2015-03-31 2018-12-12 東京エレクトロン株式会社 縦型熱処理装置
AT518081B1 (de) * 2015-12-22 2017-07-15 Sico Tech Gmbh Injektor aus Silizium für die Halbleiterindustrie
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
JP6919060B2 (ja) * 2018-03-23 2021-08-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
AT520629B1 (de) * 2018-05-22 2019-06-15 Sico Tech Gmbh Injektor aus Silizium für die Halbleiterindustrie
CN111471954B (zh) * 2020-04-13 2021-04-06 北京科技大学 一种在纯钛和钛合金表面原位合成共格Ti2N薄膜的方法
CN115404464A (zh) * 2022-09-23 2022-11-29 江苏微导纳米科技股份有限公司 沉积薄膜的方法和设备、薄膜以及太阳能电池

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2865791A (en) 1954-03-05 1958-12-23 Metallgesellschaft Ag Metal nitride coating process
US4279947A (en) 1975-11-25 1981-07-21 Motorola, Inc. Deposition of silicon nitride
GB1573154A (en) 1977-03-01 1980-08-13 Pilkington Brothers Ltd Coating glass
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
JPS5845177B2 (ja) 1979-03-09 1983-10-07 富士通株式会社 半導体表面絶縁膜の形成法
US4277320A (en) 1979-10-01 1981-07-07 Rockwell International Corporation Process for direct thermal nitridation of silicon semiconductor devices
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4402997A (en) 1982-05-17 1983-09-06 Motorola, Inc. Process for improving nitride deposition on a semiconductor wafer by purging deposition tube with oxygen
JPS5958819A (ja) 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS5989407A (ja) 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
US4524718A (en) 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
US4428975A (en) 1983-01-28 1984-01-31 Motorola, Inc. Process for improving nitride deposition on a semiconductor wafer
US4803127A (en) 1983-02-25 1989-02-07 Liburdi Engineering Limited Vapor deposition of metal compound coating utilizing metal sub-halides and coated metal article
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4715937A (en) 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4699805A (en) 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
US4684542A (en) 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4834020A (en) 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
JP2534525B2 (ja) 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
EP0332101B1 (en) 1988-03-11 1997-06-04 Fujitsu Limited Semiconductor device having a region doped to a level exceeding the solubility limit
JPH0215067A (ja) 1988-07-04 1990-01-18 Hokuriku Seiyaku Co Ltd イソキノリンスルホンアミド誘導体
US5015330A (en) 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5214002A (en) 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
JPH04142079A (ja) * 1990-10-02 1992-05-15 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US5316844A (en) 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
WO1992007525A1 (en) 1990-10-31 1992-05-14 Baxter International Inc. Close vascularization implant material
US5849601A (en) 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
JP2794499B2 (ja) 1991-03-26 1998-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3121131B2 (ja) 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5695819A (en) 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
US5614257A (en) 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
JP3181357B2 (ja) 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5723382A (en) 1992-06-12 1998-03-03 Sandhu; Gurtej S. Method of making a low-resistance contact to silicon having a titanium silicide interface, an amorphous titanium nitride barrier layer and a conductive plug
JP2740087B2 (ja) 1992-08-15 1998-04-15 株式会社東芝 半導体集積回路装置の製造方法
US5381302A (en) 1993-04-02 1995-01-10 Micron Semiconductor, Inc. Capacitor compatible with high dielectric constant materials having a low contact resistance layer and the method for forming same
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
DE4419074C2 (de) 1993-06-03 1998-07-02 Micron Semiconductor Inc Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5471330A (en) 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
US6083810A (en) 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5656531A (en) 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3563819B2 (ja) 1995-03-28 2004-09-08 アネルバ株式会社 窒化チタン薄膜の作製方法及びその方法に使用される薄膜作製装置
US5698771A (en) 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
US6161498A (en) 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
JP3373990B2 (ja) 1995-10-30 2003-02-04 東京エレクトロン株式会社 成膜装置及びその方法
US6355656B1 (en) 1995-12-04 2002-03-12 Celgene Corporation Phenidate drug formulations having diminished abuse potential
US5869389A (en) 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
US5786027A (en) 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JPH09270421A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
JP2795313B2 (ja) 1996-05-08 1998-09-10 日本電気株式会社 容量素子及びその製造方法
US6136654A (en) 1996-06-07 2000-10-24 Texas Instruments Incorporated Method of forming thin silicon nitride or silicon oxynitride gate dielectrics
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
NL1005410C2 (nl) 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
JP3270730B2 (ja) 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5907792A (en) 1997-08-25 1999-05-25 Motorola,Inc. Method of forming a silicon nitride layer
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
JPH1197667A (ja) 1997-09-24 1999-04-09 Sharp Corp 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子
US6228181B1 (en) 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6136690A (en) 1998-02-13 2000-10-24 Micron Technology, Inc. In situ plasma pre-deposition wafer treatment in chemical vapor deposition technology for semiconductor integrated circuit applications
US6087229A (en) 1998-03-09 2000-07-11 Lsi Logic Corporation Composite semiconductor gate dielectrics
JP3854731B2 (ja) 1998-03-30 2006-12-06 シャープ株式会社 微細構造の製造方法
US6210813B1 (en) 1998-09-02 2001-04-03 Micron Technology, Inc. Forming metal silicide resistant to subsequent thermal processing
KR100363083B1 (ko) 1999-01-20 2002-11-30 삼성전자 주식회사 반구형 그레인 커패시터 및 그 형성방법
JP3754568B2 (ja) 1999-01-29 2006-03-15 シャープ株式会社 量子細線の製造方法
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6180462B1 (en) 1999-06-07 2001-01-30 United Microelectronics Corp. Method of fabricating an analog integrated circuit with ESD protection
JP4192353B2 (ja) 1999-09-21 2008-12-10 株式会社デンソー 炭化珪素半導体装置及びその製造方法
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6373112B1 (en) 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6271054B1 (en) 2000-06-02 2001-08-07 International Business Machines Corporation Method for reducing dark current effects in a charge couple device
US6252295B1 (en) 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
JP3305301B2 (ja) 2000-08-02 2002-07-22 松下電器産業株式会社 電極構造体の形成方法及び半導体装置の製造方法
US6423201B1 (en) * 2000-08-23 2002-07-23 Applied Materials, Inc. Method of improving the adhesion of copper
AU2001292302A1 (en) * 2000-09-29 2002-04-08 Tokyo Electron Limited Heat-treating apparatus and heat-treating method
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
JP4866534B2 (ja) * 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6503846B1 (en) 2001-06-20 2003-01-07 Texas Instruments Incorporated Temperature spike for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) * 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
WO2004061929A1 (ja) * 2002-12-27 2004-07-22 Hitachi Kokusai Electric Inc. プラズマ発生装置、オゾン発生装置、基板処理装置、及び半導体デバイスの製造方法
US6908852B2 (en) * 2003-01-29 2005-06-21 Freescale Semiconductor, Inc. Method of forming an arc layer for a semiconductor device
EP1623454A2 (en) * 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
JP4823690B2 (ja) * 2003-06-16 2011-11-24 東京エレクトロン株式会社 成膜方法および半導体装置の製造方法
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7091085B2 (en) * 2003-11-14 2006-08-15 Micron Technology, Inc. Reduced cell-to-cell shorting for memory arrays
US7030431B2 (en) * 2004-03-19 2006-04-18 Nanya Technology Corp. Metal gate with composite film stack
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US20060234502A1 (en) * 2005-04-13 2006-10-19 Vishwanath Bhat Method of forming titanium nitride layers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11746420B2 (en) 2010-03-25 2023-09-05 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks

Also Published As

Publication number Publication date
KR101480529B1 (ko) 2015-01-08
JP2009054988A (ja) 2009-03-12
US20080286981A1 (en) 2008-11-20
JP5294694B2 (ja) 2013-09-18
US7629256B2 (en) 2009-12-08

Similar Documents

Publication Publication Date Title
KR101480529B1 (ko) 실리콘 및 타이타늄 질화물의 인시츄 증착
US7732350B2 (en) Chemical vapor deposition of TiN films in a batch reactor
US7833906B2 (en) Titanium silicon nitride deposition
JP4994551B2 (ja) 薄膜を成長させる、改良された装置および方法
JP2637265B2 (ja) 窒化珪素膜の形成方法
US6924223B2 (en) Method of forming a metal layer using an intermittent precursor gas flow process
KR101749398B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US20090035946A1 (en) In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US20090325391A1 (en) Ozone and teos process for silicon oxide deposition
KR101134713B1 (ko) 순차 흐름 성막법을 이용한 금속층 성막 방법
US20100330781A1 (en) Substrate processing apparatus , method of manufacturing semiconductor device, and method of manufacturing substrate
KR101462154B1 (ko) 텅스텐 박막 증착방법
WO2023114106A1 (en) Large grain tungsten growth in features

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171219

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181226

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191217

Year of fee payment: 6