JP2015140472A - 基板処理装置及び半導体装置の製造方法 - Google Patents

基板処理装置及び半導体装置の製造方法 Download PDF

Info

Publication number
JP2015140472A
JP2015140472A JP2014015523A JP2014015523A JP2015140472A JP 2015140472 A JP2015140472 A JP 2015140472A JP 2014015523 A JP2014015523 A JP 2014015523A JP 2014015523 A JP2014015523 A JP 2014015523A JP 2015140472 A JP2015140472 A JP 2015140472A
Authority
JP
Japan
Prior art keywords
gas supply
processing
gas
inert gas
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014015523A
Other languages
English (en)
Other versions
JP5859583B2 (ja
Inventor
野内 英博
Hidehiro Nouchi
英博 野内
芦原 洋司
Yoji Ashihara
洋司 芦原
敦 佐野
Atsushi Sano
敦 佐野
高崎唯史
Tadashi Takasaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2014015523A priority Critical patent/JP5859583B2/ja
Priority to TW103104828A priority patent/TW201530618A/zh
Priority to CN201410092298.3A priority patent/CN104821283B/zh
Priority to KR1020140034839A priority patent/KR101580939B1/ko
Priority to US14/229,151 priority patent/US8986450B1/en
Priority to US14/500,338 priority patent/US9659767B2/en
Publication of JP2015140472A publication Critical patent/JP2015140472A/ja
Application granted granted Critical
Publication of JP5859583B2 publication Critical patent/JP5859583B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Abstract

【課題】シャワーヘッド中のガスガイド上方の空間においても付着物を抑制する。【解決手段】基板を処理する処理室201と、処理室の上方に設けられ、処理室にガスを均一に供給する分散板を有するバッファ室232と、バッファ室の天井構造として構成される天井板231に設けられ、ガス供給方向に対して上流側に処理ガス供給部が接続される処理ガス供給孔231aと、天井板に設けられ、ガス供給方向に対して上流側に不活性ガス供給部が接続される不活性ガス供給孔231bと、処理ガス供給孔が内周側に位置され、不活性ガス供給孔が外周側に位置されるよう天井板の下流側の面に接続される周状の基端部235aと、基端部を有し、分散板の上方に配されるガスガイド235と、処理室の雰囲気を排気し、処理室の下方に設けられる処理室排気部と、少なくとも処理ガス供給部、不活性ガス供給部、処理室排気部を制御する制御部とを有する基板処理装置。【選択図】図1

Description

本発明は、基板処理装置及び半導体装置の製造方法に関する。
近年、フラッシュメモリ等の半導体装置は高集積化の傾向にある。それに伴い、パターンサイズが著しく微細化されている。これらのパターンを形成する際、製造工程の一工程として、基板に酸化処理や窒化処理等の所定の処理を行う工程が実施される場合がある。
上記パターンを形成する方法の一つとして、回路間に溝を形成し、そこにシード膜やライナー膜や配線を形成する工程が存在する。この溝は、近年の微細化に伴い、高いアスペクト比となるよう構成されている。
ライナー膜等を形成するに際しては、溝の上部側面、中部側面、下部側面、底部においても膜厚にばらつきが無い良好なステップカバレッジの膜を形成することが求められている。良好なステップカバレッジの膜とすることで、半導体デバイスの特性を溝間で均一とすることができ、それにより半導体デバイスの特性ばらつきを抑制することができるためである。
この高いアスペクト比の溝を処理するために、ガスを加熱して処理することや、ガスをプラズマ状態として処理することが試みられたが、良好なステップカバレッジを有する膜を形成することは困難であった。
上記膜を形成する方法として、少なくとも二種類の処理ガスを交互に供給し、基板表面で反応させる交互供給方法がある。
一方、半導体デバイスの特性を均一とする必要があることから、薄膜を形成する際、基板面内に対してガスを均一に供給する必要がある。それを実現するために、基板の処理面から均一にガスを供給することが可能な枚葉装置が開発されている。この枚葉装置では、ガスをより均一に供給するために、例えば基板上にバッファ空間を有するシャワーヘッドを設けている。
交互供給方法では、各ガスが基板表面以外で反応することを抑制するために、各ガスを供給する間に残ガスをパージガスでパージすることが知られているが、そのような工程を有するために成膜時間が遅いという問題がある。そこで処理時間を短縮するために、大量のパージガスを流し、残ガスを排出している。
更には、シャワーヘッドの一形態として、各ガスの混合を防ぐための経路やバッファ空間をガスごとに設けることが考えられるが、構造が複雑であるため、メンテナンスに手間がかかると共に、コストが高くなるという問題がある。そのため、二種類のガス及びパージガスの供給系を一つのバッファ空間でまとめたシャワーヘッドを使用することが現実的である。
二種類のガスに共通したバッファ空間を有するシャワーヘッドを使用した場合、シャワーヘッド内で残ガス同士が反応し、シャワーヘッド内壁に付着物が堆積してしまうことが考えられる。このようなことを防ぐために、バッファ室内の残ガスを効率よく除去できるよう、バッファ室に排気孔を設け、排気孔から雰囲気を排気することが望ましい。この場合、処理室へ供給する二種類のガス及びパージガスが、バッファ空間を排気するための排気孔の方向に拡散しないような構成、例えばガスの流れを形成するガスガイドをバッファ室内に設ける。ガスガイドは、例えばバッファ空間を排気するための排気孔と二種類のガス及びパージガスを供給する供給孔との間に設け、シャワーヘッドの分散板に向けて放射状に設けられていることが望ましい。ガスガイドの内側の空間からガスを効率よく排気するために、ガスガイドの内側とバッファ空間を排気するための排気孔の間の空間、具体的にはガスガイドの外周端と排気孔の間の空間を連通させる。
発明者による鋭意研究の結果、従来の構造には次の課題が発見された。即ち、処理ガスを供給する際、ガスガイドの外周端と排気孔の間に設けられた空間から、処理ガスが排気孔方向へ拡散してしまうことである。空間からガスガイド上部へ拡散したガスは、ガスガイド周辺のガス溜まり等にガスが残留してしまうため、前述のバッファ空間内の排気工程においても除去しづらいことがわかった。付着物は、パーティクルとなり、基板の特性に悪影響を及ぼしたり歩留まりの低下につながる恐れがある。
そこで、本発明の目的は、ガスガイド上方の空間においても付着物を抑制可能とし、良質な基板特性を提供可能な基板処理装置及び半導体装置の製造方法を提供するものである。
本発明の一態様によれば、
基板を処理する処理室と、
前記処理室の上方に設けられ、前記処理室にガスを均一に供給する分散板を有するバッファ室と、
前記バッファ室の天井構造として構成される天井板に設けられ、ガス供給方向に対して上流側に処理ガス供給部が接続される処理ガス供給孔と、
前記天井板に設けられ、ガス供給方向に対して上流側に不活性ガス供給部が接続される不活性ガス供給孔と、
前記処理ガス供給孔が内周側に位置され、前記不活性ガス供給孔が外周側に位置されるよう前記天井板の下流側の面に接続される周状の基端部と、
前記基端部を有し、前記分散板の上方に配されるガスガイドと、
前記処理室の雰囲気を排気し、前記処理室の下方に設けられる処理室排気部と、
少なくとも前記処理ガス供給部、前記不活性ガス供給部、前記処理室排気部を制御する制御部とを有する基板処理装置が提供される。
更に、発明の別の態様によれば、
バッファ室の天井構造である天井板に設けられた処理ガス供給孔から、ガスガイドの内側領域、前記ガスガイドと処理室の間に設けられ前記バッファ室の底部として構成される分散板を介して前記処理室に原料ガスを供給すると共に、前記天井板に設けられる不活性ガス供給孔から前記ガスガイドの外側領域を介して不活性ガスを供給する第一処理ガス供給工程と、
前記処理ガス供給孔から前記ガスガイドの内側領域及び前記分散板を介して前記処理室に反応ガスを供給する第二処理ガス供給工程と、
前記第一処理ガス供給工程及び前記第二処理ガス供給工程を繰り返す基板処理工程と
を有する半導体装置の製造方法が提供される。
本発明によれば、ガスガイド上方においても付着物を抑制可能とし、良質な基板特性を提供可能な基板処理装置及び半導体装置の製造方法が提供される。
本発明の第一の実施形態に係る基板処理装置の断面図である。 本発明の第一の実施形態に係る基板処理工程を示すフロー図である。 本発明の第一の実施形態に係る成膜工程のガス供給タイミングを説明する説明図である。 本発明の第一の実施形態に係る成膜工程を示すフロー図である。 本発明の第二の実施形態に係る基板処理装置の断面図である。 本発明の第二の実施形態に係る基板処理装置のガス淀みを説明する図である。
<本発明の第一の実施形態>
(1)基板処理装置の構成
本発明の第一実施形態に係る基板処理装置について、図1を用いて以下に説明する。図1は、本実施形態に係る基板処理装置の断面図である。
以下、本発明の一実施形態を図面に即して説明する。
まず、本発明の一実施形態に係る基板処理装置について説明する。
本実施形態に係る処理装置100について説明する。基板処理装置100は、薄膜を形成する装置であり、図1に示されているように、枚葉式基板処理装置として構成されている。
図1に示すとおり、基板処理装置100は処理容器202を備えている。処理容器202は、例えば横断面が円形であり扁平な密閉容器として構成されている。また、処理容器202の側壁や底壁は、例えばアルミニウム(Al)やステンレス(SUS)などの金属材料により構成されている。処理容器202内には、基板としてのシリコンウエハ等のウエハ200を処理する処理室201、搬送空間203が形成されている。処理容器202は、上部容器202aと下部容器202b、シャワーヘッド230で構成される。上部容器202aと下部容器202bの間には仕切り板204が設けられる。上部処理容器202a及びシャワーヘッド230に囲まれた空間であって、仕切り板204よりも上方の空間を処理室空間と呼び、下部容器202bに囲まれた空間であって、仕切り板よりも下方の空間を搬送空間と呼ぶ。上部処理容器202a及びシャワーヘッド230で構成され、処理空間を囲む構成を処理室201と呼ぶ。更には、搬送空間を囲む構成を処理室内搬送室203と呼ぶ。各構造の間には、処理容器202内を機密にするためのOリング208が設けられている。
下部容器202bの側面には、ゲートバルブ205に隣接した基板搬入出口206が設けられており、ウエハ200は基板搬入出口203を介して図示しない搬送室との間を移動する。下部容器202bの底部には、リフトピン207が複数設けられている。更に、下部容器202bは接地されている。
処理室201内には、ウエハ200を支持する基板支持部(基板載置部とも呼ぶ)210が位置するよう構成される。基板支持部210は、ウエハ200を載置する載置面211と、載置面211を表面に持つ載置台212、基板載置台212に内包された、ウエハを加熱する加熱源としての基板載置台加熱部213(第一の加熱部とも呼ぶ)を主に有する。基板載置台212には、リフトピン207が貫通する貫通孔214が、リフトピン207と対応する位置にそれぞれ設けられている。
基板載置台212はシャフト217によって支持される。シャフト217は、処理容器202の底部を貫通しており、更には処理容器202の外部で昇降機構218に接続されている。昇降機構218を作動させてシャフト217及び支持台212を昇降させることにより、基板載置面211上に載置されるウエハ200を昇降させることが可能となっている。なお、シャフト217下端部の周囲はベローズ219により覆われており、処理容器202内は気密に保持されている。
基板載置台212は、ウエハ200の搬送時には、基板載置面211が基板搬入出口206の位置(ウエハ搬送位置)となるよう基板支持台まで下降し、ウエハ200の処理時には図1で示されるように、ウエハ200が処理室201内の処理位置(ウエハ処理位置)まで上昇する。
具体的には、基板載置台212をウエハ搬送位置まで下降させた時には、リフトピン207の上端部が基板載置面211の上面から突出して、リフトピン207がウエハ200を下方から支持するようになっている。また、基板載置台212をウエハ処理位置まで上昇させたときには、リフトピン207は基板載置面211の上面から埋没して、基板載置面211がウエハ200を下方から支持するようになっている。なお、リフトピン207は、ウエハ200と直接触れるため、例えば、石英やアルミナなどの材質で形成することが望ましい。
(処理ガス導入孔)
処理室201の上方(ガス流れ方向の上流側)には後述するシャワーヘッド230が設けられ、シャワーヘッド230には天井板231(蓋231とも呼ぶ)がもうけられている。天井板231には、処理室201内に処理ガスを供給するための処理ガス導入孔231aが設けられている。処理ガス導入孔231aに接続される処理ガス供給系の構成については後述する。天井板231はシャワーヘッド230の天井壁や、バッファ室の天井壁としても用いられる。
(不活性ガス導入孔)
更に、天井板231には、処理室201内に不活性ガスを供給するための不活性ガス導入孔231bが設けられている。
(シャワーヘッド)
天井板231とガス分散板234を主構成として、ガス分散機構としてのシャワーヘッド230が構成される。ガス分散板234は、処理室201の天井部として構成され、更にはシャワーヘッドの底部として構成される。即ち、処理室201の上流方向にシャワーヘッド230が設けられている。処理ガスは処理ガス導入孔231aを介してシャワーヘッド230のバッファ室232内のバッファ空間に供給される。また不活性ガスは、不活性ガス導入孔231bを介してシャワーヘッド230のバッファ室232内のバッファ空間に供給される。
バッファ室232は、蓋231の下端部と後述する分散板234の上端で形成される。即ち、分散板234は、バッファ室から見て、ガス流れ方向下流(ここでは処理室方向であり、バッファ室の下方。)に設けられている。更には、天井板231は、バッファ室232から見てガス供給方向の上流に設けられている。
シャワーヘッド230は、バッファ室232内の空間と処理室201の処理空間との間に、処理ガス導入孔231aから導入されるガスを分散させるための分散板234を備えている。分散板234には、複数の貫通孔234aが設けられている。分散板234は、基板載置面211と対向するように配置されている。分散板234は、貫通孔234aが設けられた凸状部と、凸状部の周囲に設けられたフランジ部を有し、フランジ部は絶縁ブロック233に支持されている。
バッファ室232には、供給されたガスの流れを形成するガスガイド235が設けられる。ガスガイド235は、天井231に接続される基端部235aと、板部235bと、先端部235cを有する。基端部235aは例えば円周状で構成されており、処理ガス供給孔231aが円の内周側に位置され、不活性ガス供給孔231bが円の外周側に位置されるよう天井板231に接続される。尚、ここでは基端部235aは円周状であると記載したが、それに限るものでなく、四角等の形状でも良い。即ち、不活性ガスと処理ガスが混合しないよう、処理ガス供給孔231aと不活性ガス供給孔231bを板部235bで隔離するような周状の構成であれば良い。
板部235bは、基端部235aから連続した構成であり、分散板234方向(処理室方向)に向かうにつれ径が広がる円錐形状である。先端部235cは、基端部235aと異なる側の板部235bの端部である。即ち、板部235bの処理室201側の端部である。先端部235cにおいても、基端部235aと同様に円周構造で構成される。先端部235cの径は貫通孔234a群の最外周よりも更に外周に形成される。更に、水平方向において、不活性ガス供給孔231bは、基端部235aと先端部235cとの間に配置されている。
本実施形態においては、板部235bの内側(分散板234側)の領域をバッファ空間232の内側領域232a、外側(天井231側)の領域をバッファ空間232の外側領域232bと呼ぶ。
板部235bは基端部235aと連続しているため、処理ガス供給孔235aから供給される処理ガスと不活性ガス供給孔235bから供給される不活性ガスを分離している。処理ガス供給孔235aから内側領域232aに供給される処理ガスと、不活性ガス供給孔235bから外側領域232bに供給される不活性ガスは、板部235bの内側、外側において互いに影響されない。
先端部235cとバッファ室232の側壁の間には空間232Cが存在する。後述する第一の処理ガス供給工程S202や第二の処理ガス供給工程S208等の処理ガス供給工程では、処理ガスが内側領域232a内で分散板234方向に拡散し、不活性ガスが板部235bの外側領域235b側の面に沿って分散板234方向に流れる。
(第一の排気系)
バッファ室232の上方には、シャワーヘッド用排気孔231cを介して、排気管236が接続されている。排気管236には、排気のオン/オフを切り替えるバルブ237、排気バッファ室232内を所定の圧力に制御するAPC(Auto Pressure Controller)等の圧力調整器238、真空ポンプ239が順に直列に接続されている。尚、排気管236、バルブ237、圧力調整器238をまとめて第一の排気系、もしくはバッファ室排気部と呼ぶ。
(処理ガス供給系)
第一ガス供給管243aを含む第一処理ガス供給系243からは第一元素含有ガスが主に供給され、第二ガス供給管244aを含む第二処理ガス供給系244からは主に第二元素含有ガスが供給される。第一処理ガス供給系243と第二処理ガス供給系で処理ガス供給系が構成される。
尚、処理ガス供給系を処理ガス供給部と呼んでも良い。
(第一処理ガス供給系)
第一ガス供給管243aには、上流方向から順に、第一ガス供給源243b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)243c、及び開閉弁であるバルブ243dが設けられている。
第一ガス供給管243aから、第一元素を含有するガス(以下、「第一元素含有ガス」)が、マスフローコントローラ243c、バルブ243d、共通ガス供給管242を介してシャワーヘッド230に供給される。
第一元素含有ガスは、原料ガス、すなわち、処理ガスの一つである。
ここで、第一元素は、例えばチタン(Ti)である。すなわち、第一元素含有ガスは、例えばチタン含有ガスである。チタン含有ガスとしては、例えばTiClガスを用いることができる。なお、第一元素含有ガスは、常温常圧で固体、液体、及び気体のいずれであっても良い。第一元素含有ガスが常温常圧で液体の場合は、第一ガス供給源232bとマスフローコントローラ243cとの間に、図示しない気化器を設ければよい。ここでは気体として説明する。
なお、シリコン含有ガスを用いても良い。シリコン含有ガスとしては、例えば有機シリコン材料であるヘキサメチルジシラザン(C19NSi、略称:HMDS)やトリシリルアミン((SiHN、略称:TSA)、BTBAS(SiH(NH(C))(ビス タシャリー ブチル アミノ シラン)ガス等を用いることができる。これらのガスは、プリカーサーとして働く。
第一ガス供給管243aのバルブ243dよりも下流側には、第一不活性ガス供給管246aの下流端が接続されている。第一不活性ガス供給管246aには、上流方向から順に、不活性ガス供給源246b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)246c、及び開閉弁であるバルブ246dが設けられている。
ここで、不活性ガスは、例えば窒素(N)ガスである。なお、不活性ガスとして、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いることができる。
第一不活性ガス供給管246aからは、不活性ガスが、マスフローコントローラ246c、バルブ246d、第一ガス供給管243aを介して、シャワーヘッド230内に供給される。不活性ガスは、後述する薄膜形成工程(S104)ではキャリアガス或いは希釈ガスとして作用する。
主に、第一ガス供給管243a、マスフローコントローラ243c、バルブ243dにより、第一ガス供給系243(チタン含有ガス供給系ともいう)が構成される。
また、主に、第一不活性ガス供給管246a、マスフローコントローラ246c及びバルブ246dにより第一不活性ガス供給系が構成される。なお、不活性ガス供給源234b、第一ガス供給管243aを、第一不活性ガス供給系に含めて考えてもよい。
更には、第一ガス供給源243b、第一不活性ガス供給系を、第一ガス供給系に含めて考えてもよい。
尚、第一処理ガス供給系を第一処理ガス供給部、又は原料ガス供給部と呼んでも良い。
(第二処理ガス供給系)
第二ガス供給管244aには上流方向から順に、第二ガス供給源244b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)244c、及び開閉弁であるバルブ244d、リモートプラズマユニット244eが設けられている。
第二ガス供給管244aからは、第二元素を含有するガス(以下、「第二元素含有ガス」)が、マスフローコントローラ244c、バルブ244d、リモートプラズマユニット244e、共通ガス供給管242を介して、シャワーヘッド230内に供給される。第二元素含有ガスは、リモートプラズマユニット244eによりプラズマ状態とされ、ウエハ200上に照射される。
第二元素含有ガスは、処理ガスの一つである。なお、第二元素含有ガスは、第一元素含有ガスと反応する反応ガスまたは第一元素含有ガス含有膜を改質する改質ガスとして考えてもよい。
ここで、第二元素含有ガスは、第一元素と異なる第二元素を含有する。第二元素としては、例えば、酸素(O)、窒素(N)、炭素(C)のいずれか一つである。本実施形態では、第二元素含有ガスは、例えば窒素含有ガスとする。具体的には、窒素含有ガスとしてアンモニア(NH3)ガスが用いられる。
主に、第二ガス供給管244a、マスフローコントローラ244c、バルブ244dにより、第二処理ガス供給系244(窒素含有ガス供給系ともいう)が構成される。
また、第二ガス供給管244aのバルブ244dよりも下流側には、第二不活性ガス供給管247aの下流端が接続されている。第二不活性ガス供給管247aには、上流方向から順に、不活性ガス供給源247b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)247c、及び開閉弁であるバルブ247dが設けられている。
第二不活性ガス供給管247aからは、不活性ガスが、マスフローコントローラ247c、バルブ247d、第二ガス供給管244a、リモートプラズマユニット244eを介して、シャワーヘッド230内に供給される。不活性ガスは、後述する成膜工程(薄膜形成工程とも呼ぶ)(S104)ではキャリアガス或いは希釈ガスとして作用する。
主に、第二不活性ガス供給管247a、マスフローコントローラ247c及びバルブ247dにより第二不活性ガス供給系が構成される。なお、不活性ガス供給源247b、第二ガス供給管243a、リモートプラズマユニット244eを第二不活性ガス供給系に含めて考えてもよい。
更には、第二ガス供給源244b、リモートプラズマユニット244e、第二不活性ガス供給系を、第二処理ガス供給系244に含めて考えてもよい。
尚、第二処理ガス供給系を第二処理ガス供給部、又は反応ガス供給部と呼んでも良い。
(不活性ガス供給系)
第三ガス供給管245aを含む第三ガス供給系245からは、ウエハを処理する際には主に不活性ガスが供給される。
第三ガス供給管245aには、上流方向から順に、第三ガス供給源245b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)245c、及び開閉弁であるバルブ245dが設けられている。
第三ガス供給管245aから、パージガスとしての不活性ガスが、マスフローコントローラ245c、バルブ245d、共通ガス供給管245を介してシャワーヘッド230に供給される。
ここで、不活性ガスは、例えば、窒素(N)ガスである。なお、不活性ガスとして、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いることができる。
第三ガス供給管245aには、上流方向から順に、不活性ガス供給源245b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)245c、及び開閉弁であるバルブ245dが設けられている。
主に、第三ガス供給管245a、マスフローコントローラ245c、バルブ245dにより、不活性ガス供給系245が構成される。
更には、第三ガス供給源245b、クリーニングガス供給系を、第三ガス供給系245に含めて考えてもよい。
第三ガス供給管245aからは、基板処理工程では不活性ガスが、マスフローコントローラ245c、バルブ245d、共通ガス供給管242を介して、シャワーヘッド230内に供給される。
不活性ガス供給源245bから供給される不活性ガスは、後述する薄膜形成工程(S104)では、処理室202やシャワーヘッド230内に留まったガスをパージするパージガスとして作用する。
尚、本明細書においては、不活性ガス供給系245を第三ガス供給系とも呼ぶ。
(第二の排気系)
処理室201(上部容器202a)の内壁には、処理室201の雰囲気を排気する排気口221が設けられている。排気口221には排気管222が接続されており、排気管222には、処理室201内を所定の圧力に制御するAPC(Auto
Pressure Controller)等の圧力調整器223、真空ポンプ224が順に直列に接続されている。主に、排気口221、排気管222、圧力調整器223、真空ポンプ224により第二の排気系(排気ライン)220が構成される。第二の排気系は、処理室排気部とも呼ぶ。
(コントローラ)
基板処理装置100は、基板処理装置100の各部の動作を制御するコントローラ260を有している。コントローラ260は、演算部261及び記憶部262を少なくとも有する。コントローラ260は、上位コントローラや使用者の指示に応じて記憶部から基板処理装置のプログラムや制御レシピを呼び出し、その内容に応じて各構成を制御する。
(2)基板処理工程
<成膜工程>
次に、基板処理装置100としての基板処理装置100を使用して、ウエハ200上に薄膜を形成する工程について、図2、図3、図4を参照しながら説明する。図2、図3、図4は、本発明の実施形態にかかる成膜工程のフロー図である。なお、以下の説明において、基板処理装置100を構成する各部の動作はコントローラ260により制御される。
(2)基板処理工程
図2、図3、図4を用い、基板処理工程の概略について説明する。図2は、本実施形態に係る基板処理工程を示すフロー図である。
ここでは、第一元素含有ガスとしてTiClガスを用い、第二元素含有ガスとしてアンモニア(NH3)ガスを用い、ウエハ200上に薄膜として窒化チタン膜を形成する例について説明する。また、例えば、ウエハ200上には、予め所定の膜が形成されていてもよい。また、ウエハ200または所定の膜には予め所定のパターンが形成されていてもよい。
(基板搬入・載置工程S102)
処理装置100では基板載置台212をウエハ200の搬送位置まで下降させることにより、基板載置台212の貫通孔214にリフトピン207を貫通させる。その結果、リフトピン207が、基板載置台212表面よりも所定の高さ分だけ突出した状態となる。続いて、ゲートバルブ205を開き、図示しないウエハ移載機を用いて、処理室内にウエハ200(処理基板)を搬入し、リフトピン207上にウエハ200を移載する。これにより、ウエハ200は、基板載置台212の表面から突出したリフトピン207上に水平姿勢で支持される。
処理容器202内にウエハ200を搬入したら、ウエハ移載機を処理容器202の外へ退避させ、ゲートバルブ205を閉じて処理容器202内を密閉する。その後、基板載置台212を上昇させることにより、基板載置台212に設けられた基板載置面211上にウエハ200を載置する。
なお、ウエハ200を処理容器202内に搬入する際には、排気系により処理容器202内を排気しつつ、不活性ガス供給系から処理容器202内に不活性ガスとしてのNガスを供給することが好ましい。すなわち、真空ポンプ224を作動させAPCバルブ223を開けることにより処理容器202内を排気した状態で、少なくとも第三ガス供給系のバルブ245dを開けることにより、処理容器202内にNガスを供給することが好ましい。これにより、処理容器202内へのパーティクルの侵入や、ウエハ200上へのパーティクルの付着を抑制することが可能となる。また、真空ポンプ224は、少なくとも基板搬入・載置工程(S102)から後述する基板搬出工程(S106)が終了するまでの間は、常に作動させた状態とする。
ウエハ200を基板載置台212の上に載置する際は、基板載置台212の内部に埋め込まれたヒータ213及び又はシャワーヘッドに電力を供給し、ウエハ200の表面が所定の温度となるよう制御される。ウエハ200の温度は、例えば室温以上500℃以下であり、好ましくは、室温以上であって400℃以下である。この際、ヒータ213の温度は、図示しない温度センサにより検出された温度情報に基づいてヒータ213への通電具合を制御することによって調整される。
(成膜工程S104)
次に、薄膜形成工程S104を行う。薄膜形成工程S104の基本的な流れについて説明し、本実施形態の特徴部分については詳細を後述する。
薄膜形成工程S104では、シャワーヘッド230のバッファ室232を介して、処理室201内にTiClガスを供給する。TiClガスを供給し、所定の時間経過後、TiClガスの供給を停止し、パージガスにより、バッファ室232、処理室201からTiClガスを排出する。
TiClガスを排出後、バッファ室232を介して、処理室201内にプラズマ状態のアンモニアガスを供給する。アンモニアガスは、ウエハ200上に形成されたチタン含有膜と反応し、窒化チタン膜を形成する。所定の時間経過後、アンモニアガスの供給を停止し、パージガスによりシャワーヘッド230、処理室201からアンモニアガスを排出する。
成膜工程104では、以上を繰り返すことで、所望の膜厚の窒化チタン膜を形成する。
(基板搬出工程S106)
次に、基板載置台212を下降させ、基板載置台212の表面から突出させたリフトピン207上にウエハ200を支持させる。その後、ゲートバルブ205を開き、ウエハ移載機を用いてウエハ200を処理容器202の外へ搬出する。その後、基板処理工程を終了する場合は、第三ガス供給系から処理容器202内に不活性ガスを供給することを停止する。
(処理回数判定工程S108)
基板を搬出後、薄膜形成工程が所定の回数に到達したか否かを判定する。所定の回数に到達したと判断されたら、クリーニング工程に移行する。所定の回数に到達していないと判断されたら、次に待機しているウエハ200の処理を開始するため、基板搬入・載置工程S102に移行する。
続いて、成膜工程S104の詳細について図3,図4を用いて説明する。
(第一の処理ガス供給工程S202)
それぞれ所望とする温度に達したら、バルブ243dを開け、処理ガス導入孔231a、バッファ室232の内側領域232a、複数の貫通孔234aを介して、処理室201内に第一の処理ガスとしてのTiClを供給開始する。このとき、バルブ246aを開け、キャリアガスとしての不活性ガスも供給開始する。
第二処理ガス供給系では、バルブ244dを閉とし、バルブ247dを開とする。このようにして、第一の処理ガスが第二の処理ガス供給管244へ供給されることを防止する。防止することで、第二の処理ガス供給管244内でのガス付着を防止する。
第三ガス供給系では、バルブ245dを開け、不活性ガス導入孔231bを介してバッファ室232の外側領域232bに不活性ガスを供給する。供給された不活性ガスはガスガイド235の板部235bに沿って先端部235cと側壁の間の空間232cに供給される。供給された不活性ガスは、第一の処理ガスが外側領域235bに回りこむことを抑制するガスカーテンとして活用される。回り込みを抑えることで、外側領域235bに隣接する板部235bやバッファ室壁へのガス付着を防止する。
尚、より良くは、第三ガス供給系から供給される不活性ガスの供給量を、外側領域232bへのガスの回り込みを抑制する量であって、更には処理ガス供給系から供給されるガスの供給量よりも少なくすることが望ましい。言い換えれば、第一処理ガス供給系から供給される第一ガスと不活性ガス、更には第二処理ガス供給系から供給される不活性ガスの総供給量よりも、第三ガス供給系から供給される不活性ガスの供給量を少なくすることが望ましい。
少なくすることで、先端部235c近傍における第一ガスの希釈を抑えることが可能となる。その結果、基板の中央部分と外周部分とで均一に原料ガスである第一の処理ガスを供給し、基板面内を均一に処理することができる。
仮に、第一ガスが希釈される程の量であった場合、基板の中央部分と外周部分で原料ガスの供給量が異なってしまう恐れがある。この場合、基板の中央部分と外周部分との曝露量が異なり、その結果基板面内で膜質が異なってしまうため、デバイスの歩留まり低下につながる。
バッファ室232内の内側領域232aではガスガイド235によってTiClガスが均一に分散される。均一に分散されたガスは複数の貫通孔234aを介して、処理室201内のウエハ200上に均一に供給される。
このとき、第一の処理ガスであるTiClガスやそのキャリアガス、更には第二処理ガス供給系のキャリアガスの流量が所定の流量となるように、第一処理ガス供給系及び第二処理ガス供給系のマスフローコントローラを調整する。更には、第三の処理ガスである不活性ガスの流量が所定の流量となるように、マスフローコントローラ245cを調整する。なお、TiClの供給流量は、例えば100sccm以上5000sccm以下である。また、排気ポンプ224を作動させ、APCバルブ223の弁開度を適正に調整することにより、処理容器202内の圧力を、所定の圧力とする。
供給されたTiClガスはウエハ200上に供給される。ウエハ200表面の上には、TiClガスがウエハ200の上に接触することによって「第一元素含有層」としてのチタン含有層が形成される。
チタン含有層は、例えば、処理容器202内の圧力、TiClガスの流量、サセプタ217の温度、第一処理領域201aでの処理時間等に応じて、所定の厚さ及び所定の分布で形成される。
所定の時間経過後、バルブ243dを閉じ、TiClガスの供給を停止する。バルブ245dは開を維持し、不活性ガスの供給を継続する。
(第一のシャワーヘッド排気工程S204)
バルブ243dを閉じてTiClガスの供給を停止した後、バルブ237を開とし、シャワーヘッド230内の雰囲気を排気する。具体的には、バッファ室232内の雰囲気を排気する。このとき、真空ポンプ239は事前に作動させておく。
このとき、バッファ室232における第一の排気系からの排気コンダクタンスが、処理室を介した排気ポンプ244のコンダクタンスよりも高くなるよう、バルブ237の開閉弁及び真空ポンプ239を制御する。このように調整することで、バッファ室232の中央からシャワーヘッド排気孔231bに向けたガス流れが形成される。このようにして、バッファ室232の壁に付着したガスや、バッファ空間内に浮遊したガスが、処理室201に進入することなく第一の排気系から排気される。
また、バッファ室232の雰囲気を早期に排気するため、第三ガス供給系から不活性ガスを供給する。
このとき、より良くは、マスフローコントローラ245cを制御し、第三ガス供給系から供給される不活性ガス供給量を第一の処理ガス供給工程よりも多くする。供給量を多くすることで、バッファ室232の雰囲気をより早く排気することができる。更には、内側領域232aにも不活性ガスを多く供給することができ、内側領域232aの残留ガスをより確実に除去することが可能となる。
言い換えれば、第一ガス供給工程では、第三ガス供給系から供給される不活性ガスが内側領域232aに到達して処理ガスの流れを阻害しないよう、不活性ガスのガス流量を制御する。更に第一のシャワーヘッド排気工程では、バルブ243dを閉じた直後に残留する第一ガスのガス流れが存在したとしても、第三ガス供給系から供給される不活性ガスが内側領域232aに到達し内側領域232aの残留ガスをするようガス流量を制御する。このような目的から、第三ガス供給系から供給される不活性ガスは、第一のシャワーヘッド排気工程における流量が第一ガス供給工程における流量よりも多くなるよう制御される。
(第一の処理室排気工程S206)
所定の時間経過後、引き続き第二の排気系の排気ポンプ224を作動させつつ、処理空間において第二の排気系からの排気コンダクタンスが、シャワーヘッド230を介した第一の排気系からの排気コンダクタンスよりも高くなるようAPCバルブ223の弁開度及びバルブ237の弁解度を調整する。このように調整することで、処理室201を経由した第二の排気系に向けたガス流れが形成される。したがって、バッファ室232に供給された不活性ガスを確実に基板上に供給することが可能となり、基板上の残留ガスの除去効率が高くなる。
処理室排気工程において供給された不活性ガスは、第一の処理ガス供給工程S202でウエハ200に結合できなかったチタン成分を、ウエハ200上から除去する。更には、バルブ237を開け、圧力調整器237、真空ポンプ238を制御して、シャワーヘッド230内に残留したTiCl4ガスを除去する。所定の時間経過後、バルブ245dを絞って不活性ガスの供給量を少なくすると共に、バルブ237を閉じてシャワーヘッド230と真空ポンプ239の間を遮断する。
より良くは、所定の時間経過後、第二の排気系の排気ポンプ224を引き続き作動させつつ、バルブ237を閉じることが望ましい。このようにすると、処理室201を経由した第二の排気系に向けた流れが第一の排気系の影響を受けないので、より確実に不活性ガスを基板上に供給することが可能となり、基板上の残留ガスの除去効率が更に高くなる。
また、シャワーヘッド排気工程S204の後に引き続き処理室排気工程S206を行うことで、次の効果を見出すことができる。即ち、シャワーヘッド排気工程S204でバッファ室232内の残留物を除去しているので、処理室排気工程S206においてガス流れがウエハ200上を経由したとしても、残留ガスが基板上に付着することを防ぐことができる。
(第二の処理ガス供給工程S206)
第一処理ガス供給系では、バルブ243dを閉とした状態で、バルブ247dの開を維持し、引き続き不活性ガスを供給する。
第二処理ガス供給系では、リモートプラズマユニット244eの起動状態を維持しつつ、バルブ244dの開を継続する。アンモニアガスはリモートプラズマユニット244eを通過しプラズマ化される。ラジカルが主体のプラズマは、バッファ室232、貫通孔234aを介して基板上に均一に供給される。
このとき、アンモニアガスの流量が所定の流量となるように、マスフローコントローラ244cを調整する。なお、アンモニアガスの供給流量は、例えば100sccm以上5000sccm以下である。なお、アンモニアガスとともに、第二不活性ガス供給系からキャリアガスとしてNガスを流してもよい。また、APCバルブ223の弁開度を適正に調整することにより、処理容器202内の圧力を、所定の圧力とする。
ラジカルが主体のアンモニアガスはウエハ200上に供給される。既に形成されているチタン含有層がアンモニアラジカルによって改質され、ウエハ200上に例えばチタン元素および窒素元素を含有する層が形成される。
改質層は、例えば、処理容器202内の圧力、アンモニアガスの流量、基板載置台212の温度、プラズマ生成部206の電力供給具合等に応じて、所定の厚さ、所定の分布、チタン含有層に対する所定の窒素成分等の侵入深さで形成される。
所定の時間経過後、バルブ244dを閉じ、アンモニアガスの供給を停止する。
より良くは、第二の処理ガス供給工程では、第三ガス供給系でバルブ245dの開を維持し、不活性ガス導入孔231bを介してバッファ室232に供給することが望ましい。供給された不活性ガスは、第二の処理ガスが外側領域235bに回りこむことを抑制するガスカーテンとして活用される。第二の処理ガス供給工程では、バッファ室232の残留第一ガスとアンモニアガスの反応を抑制することができる。従って、より高い確率でバッファ室内の付着物の発生を抑えることができる。尚、残留第一ガスとは、第一のガス供給工程において、ガスカーテンを超えて外側領域232bに回り込んでしまった第一のガスや、第一のシャワーヘッド排気工程で排気できなかった第一のガスを呼ぶ。
更により良くは、第三ガス供給系から供給される不活性ガスの供給量を、外側領域232bへのガスの回り込みを抑制する量であって、処理ガス供給系から供給されるガスの供給量よりも少なくすることが望ましい。言い換えれば、第二処理ガス供給系から供給される第二ガスと不活性ガス、更には第一処理ガス供給系から供給される不活性ガスの総供給量よりも、第三ガス供給系から供給される不活性ガスの供給量を少なくすることが望ましい。
少なくすることで、先端部分235c近傍における第二ガスの希釈を抑えることが可能となる。その結果、基板の中央部分と外周部分とで均一に反応ガスである第二の処理ガスを供給し、基板面内を均一に処理することができる。
仮に、アンモニアガスが希釈される程の量であった場合、基板の中央部分と外周部分でアンモニアガスの供給量が異なってしまう恐れがある。この場合、基板の中央部分と外周部分との曝露量が異なり、その結果膜質が異なってしまうため、歩留まりの低下につながる。
(第二のシャワーヘッド排気工程S210)
バルブ244dを閉じてアンモニアガスの供給を停止した後、バルブ237を開とし、シャワーヘッド230内の雰囲気を排気する。具体的には、バッファ室232内の雰囲気を排気する。このとき、真空ポンプ239は事前に作動させておく。
バッファ室232における第一の排気系からの排気コンダクタンスが、処理室を介した排気ポンプ244のコンダクタンスよりも高くなるよう、バルブ237の開閉弁及び真空ポンプ239を制御する。このように調整することで、バッファ室232の中央からシャワーヘッド排気孔231bに向けたガス流れが形成される。このようにして、バッファ室232の壁に付着したガスや、バッファ空間内に浮遊したガスが、処理室201に進入することなく第一の排気系から排気される。
このとき、より良くは、マスフローコントローラ245cを制御し、第三ガス供給系から供給される不活性ガス供給量を第二の処理ガス供給工程よりも多くする。供給量を多くすることで、バッファ室232の雰囲気をより早く排気することができる。更には、内側領域232aにも不活性ガスを多く供給することができ、内側領域232aの残留ガスをより確実に除去することが可能となる。
言い換えれば、第二ガス供給工程では、第三ガス供給系から供給される不活性ガスが内側領域232aに到達して処理ガスの流れを阻害しないよう、不活性ガスのガス流量を制御する。更に第二のシャワーヘッド排気工程では、バルブ244dを閉じた直後に残留する処理ガスのガス流れが存在したとしても、第三ガス供給系から供給される不活性ガスが内側領域232aに到達し内側領域232aの残留ガスを押し出すようガス流量を制御する。このような目的から、第三ガス供給系から供給される不活性ガスは、第二のシャワーヘッド排気工程における流量が第二ガス供給工程における流量よりも多くなるよう制御される。
(第二の処理室排気工程S212)
所定の時間経過後、第二の排気系の排気ポンプ224を作動させつつ、処理空間において第二の排気系からの排気コンダクタンスが、シャワーヘッド230を介した第一の排気系からの排気コンダクタンスよりも高くなるようAPCバルブ223の弁開度及びバルブ237の弁解度を調整する。このように調整することで、処理室201を経由した第二の排気系に向けたガス流れが形成される。したがって、バッファ室232に供給された不活性ガスを確実に基板上に供給することが可能となり、基板上の残留ガスの除去効率が高くなる。
処理室排気工程において供給された不活性ガスは、第一の処理ガス供給工程S202でウエハ200に結合できなかったチタン成分を、ウエハ200上から除去する。更には、バルブ237を開け、圧力調整器237、真空ポンプ238を制御して、シャワーヘッド230内に残留したアンモニアガスを除去する。所定の時間経過後、バルブ243dを閉じて不活性ガスの供給を停止すると共に、バルブ237を閉じてシャワーヘッド230と真空ポンプ239の間を遮断する。
より良くは、所定の時間経過後、第二の排気系の排気ポンプ224を引き続き作動させつつ、バルブ237を閉じることが望ましい。このようにすると、バッファ室内232内の残留ガスや、供給された不活性ガスは、処理室201を経由した第二の排気系に向けた流れが第一の排気系の影響を受けないので、より確実に不活性ガスを基板上に供給することが可能となるため、基板上で、第一のガスと反応しきれなかった残留ガスの除去効率が更に高くなる。
また、シャワーヘッド排気工程S204の後に引き続き処理室排気工程S206を行うことで、次の効果を見出すことができる。即ち、シャワーヘッド排気工程S204でバッファ室232内の残留物を除去しているので、処理室排気工程S206においてガス流れがウエハ200上を経由したとしても、残留ガスが基板上に付着することを防ぐことができる。
(判定工程S214)
この間、コントローラ260は、上記1サイクルを所定回数実施したか否かを判定する。
所定回数実施していないとき(S214でNoの場合)、第一の処理ガス供給工程S202、第一シャワーヘッド排気工程S204、第一処理室排気工程S206、第二の処理ガス供給工程S208、第二のシャワーヘッド排気工程S210、第二処理室排気工程S212のサイクルを繰り返す。所定回数実施したとき(S214でYesの場合)、成膜工程S104を終了する。
続いて、図5、図6を用いて第二の実施形態について説明する。
第二の実施形態においては、第一の実施形態と同様の符号は第一の実施形態と同様の構成であるため説明を省略する。更には、本実施形態の基板処理方法は第一の実施形態と同様であることから説明を省略する。以下、相違点を中心に本実施形態を説明する。
図5は第二の実施形態に係る装置構成を説明する図である。
第一の実施形態との相違点は、不活性ガス供給孔231dが、水平方向において、先端部235cよりも外側に設けられ、更にはバッファ室232の側壁を構成する側壁構造と天井231の接触部分より内側に設けられている点で相違する。
図6は前述の側壁構造と天井231の接触部分を説明する図である。分散板234の側壁構造と天井231はOリング251を介して接触している。Oリング251は、シール用として用いられる。このような構成の場合、分散板234の側壁構造と天井231の間に隙間が設けられてしまう。更には、角部232dが形成されてしまう。これらの隙間や角部がガス溜まりとなり、シャワーヘッド排気工程を実施したとしても処理ガスが残留する可能性がある。そこで、本実施形態においては、ガス溜まり近傍に不活性ガス供給孔を設ける。このようにすることで、処理ガス供給工程において、実施形態1と同様にガスガイド235の外側領域232bへの回りこみを防ぐ。更に、ガスカーテンを超えて外側領域232bに回り込んでしまったガスがガス溜まりに入り込むことを防ぐと共に、シャワーヘッド排気工程で排気できなかったガスをガス溜まりから排除することが可能となる。
尚、上述の実施形態では、第一元素含有ガスとしてチタン含有ガスを用い、第二元素含有ガスとして窒素含有ガスを用い、ウエハ200上に窒化チタン膜を形成する場合について説明したが、それに限るものではない。第一元素含有ガスとして、例えばシリコン(Si)、ハフニウム(Hf)含有ガス、ジルコニウム(Zr)含有ガス、チタン(Ti)含有ガスを用い、酸化ハフニウム膜(HfO膜)、酸化ジルコニウム膜(ZrO膜)、酸化チタン膜(TiO膜)等のHigh−k膜等をウエハ200上に形成してもよい。
また、上述の実施形態では、第一の排気系に接続されるシャワーヘッド排気孔231bをシャワーヘッドの蓋231に設けていたが、それに限るものではなく、例えばバッファ室の側面に設けても良い。
以下に、本発明の態様を記す。
(付記1)
基板を処理する処理室と、
前記処理室の上方に設けられ、前記処理室にガスを均一に供給する分散板を有するバッファ室と、
前記バッファ室の天井構造として構成される天井板に設けられ、ガス供給方向に対して上流側に処理ガス供給部が接続される処理ガス供給孔と、
前記天井板に設けられ、ガス供給方向に対して上流側に不活性ガス供給部が接続される不活性ガス供給孔と、
前記処理ガス供給孔が内周側に位置され、前記不活性ガス供給孔が外周側に位置されるよう前記天井板の下流側の面に接続される周状の基端部と、
前記基端部を有し、前記分散板の上方に配されるガスガイドと、
前記処理室の雰囲気を排気し、前記処理室の下方に設けられる処理室排気部と、
少なくとも前記処理ガス供給部、前記不活性ガス供給部、前記処理室排気部を制御する制御部とを有する基板処理装置。
(付記2)
基板を処理する処理室と、
前記処理室の上方に設けられ、前記処理室にガスを均一に供給する分散板を有するバッファ室と、
前記バッファ室の天井構造として構成される天井板に設けられ、ガス供給方向に対して上流側に処理ガス供給部が接続される処理ガス供給孔と、
前記天井板に設けられ、ガス供給方向に対して上流側に不活性ガス供給部が接続される不活性ガス供給孔と、
前記処理ガス供給孔が内周側に位置され、前記不活性ガス供給孔が外周側に位置されるよう前記天井板の下流側の面に接続される周状の基端部と、
前記基端部から前記処理室方向に径が広がるよう構成される円錐状の板部と、
前記板部の内前記基端部と異なる端部である先端部と
前記分散板の上流に設けられ、前記基端部と前記板部と前記先端部を有するガスガイドと、
前記処理室の雰囲気を排気し、前記処理室の下方に設けられる処理室排気部と、
少なくとも前記処理ガス供給部、前記不活性ガス供給部、前記処理室排気部を制御する制御部とを有する基板処理装置。
(付記3)
前記不活性ガス供給孔は、水平方向において、前記先端部と前記基端部との間に設けられる付記2に記載の基板処理装置。
(付記4)
前記制御部は、前記処理ガス供給孔から供給されるガスの流量を前記不活性ガス供給孔から供給されるガスの流量よりも多くする処理ガス供給工程を実行するよう前記処理ガス供給部及び前記不活性ガス供給部を制御する付記2または3に記載の基板処理装置。
(付記5)
更に、前記基板処理装置は、前記バッファ室に接続されると共に前記バッファ室の雰囲気を排気するバッファ室排気部とを有し、
前記制御部は、前記処理ガスの供給を停止した後、前記不活性ガス供給孔から供給される不活性ガスの供給量を前記処理ガス供給工程よりも多くしつつ、前記バッファ室の雰囲気を排気するバッファ室排気工程を行うよう前記バッファ室排気部及び前記不活性ガス供給部を制御する付記4に記載の基板処理装置。
(付記6)
前記処理ガスは原料ガスまたは前記原料ガスと反応する反応ガスであり、前記制御部は前記処理ガス供給孔から供給される前記原料ガスを含むガスの流量を前記不活性ガス供給孔から供給されるガスの流量よりも多くする第一処理ガス供給工程を実行するよう前記処理ガス供給部及び前記不活性ガス供給部を制御する付記2または3に記載の基板処理装置。
(付記7)
更に、前記基板処理装置は、前記バッファ室に接続されると共に前記バッファ室の雰囲気を排気するバッファ室排気部とを有し、
前記制御部は、前記原料ガスの供給を停止した後、前記不活性ガス供給孔から供給される不活性ガスの供給量を前記第一処理ガス供給工程よりも多くしつつ、前記バッファ室の雰囲気を排気するバッファ室排気工程を行うよう前記バッファ室排気部及び前記不活性ガス供給部を制御する付記6に記載の基板処理装置。
(付記8)
前記処理ガスは原料ガスまたは前記原料ガスと反応する反応ガスであって、前記制御部は前記処理ガス供給孔から供給される前記反応ガスを含むガスの流量を前記不活性ガス供給孔から供給されるガスの流量よりも多くする第二処理ガス供給工程を実行するよう前記処理ガス供給系及び前記不活性ガス供給系を制御する付記2または3に記載の基板処理装置。
(付記9)
更に、前記基板処理装置は、前記バッファ室に接続されると共に前記バッファ室の雰囲気を排気するバッファ室排気部とを有し、
前記制御部は、前記反応ガスの供給を停止した後、前記不活性ガス供給孔から供給される不活性ガスの供給量を前記反応ガス供給工程よりも多くしつつ、前記バッファ室の雰囲気を排気するバッファ室排気工程を行うよう前記バッファ室排気部及び前記不活性ガス供給部を制御する付記8に記載の基板処理装置。
(付記11)
前記不活性ガス供給孔は、水平方向において、前記先端部よりも外側に設けられ、更には前記側壁を構成する側壁構造と前記天井を構成する天井構造の接触部分より内側に設けられている付記2に記載の基板処理装置。
(付記12)
バッファ室の天井構造である天井板に設けられた処理ガス供給孔から、ガスガイドの内側領域、前記ガスガイドと処理室の間に設けられ前記バッファ室の底部として構成される分散板を介して前記処理室に原料ガスを供給すると共に、前記天井板に設けられる不活性ガス供給孔から前記ガスガイドの外側領域を介して不活性ガスを供給する第一処理ガス供給工程と、
前記処理ガス供給孔から前記ガスガイドの内側領域及び前記分散板を介して前記処理室に反応ガスを供給する第二処理ガス供給工程と、
前記第一処理ガス供給工程及び前記第二処理ガス供給工程を繰り返す基板処理工程と
を有する半導体装置の製造方法。
100…処理装置
200…ウエハ
210…基板載置部
220…第一の排気系
230…シャワーヘッド
243…第一処理ガス供給系
244…第二処理ガス供給系
245…第三ガス供給系
260…コントローラ

Claims (6)

  1. 基板を処理する処理室と、
    前記処理室の上方に設けられ、前記処理室にガスを均一に供給する分散板を有するバッファ室と、
    前記バッファ室の天井構造として構成される天井板に設けられ、ガス供給方向に対して上流側に処理ガス供給部が接続される処理ガス供給孔と、
    前記天井板に設けられ、ガス供給方向に対して上流側に不活性ガス供給部が接続される不活性ガス供給孔と、
    前記処理ガス供給孔が内周側に位置され、前記不活性ガス供給孔が外周側に位置されるよう前記天井板の下流側の面に接続される周状の基端部と、
    前記基端部を有し、前記分散板の上方に配されるガスガイドと、
    前記処理室の雰囲気を排気し、前記処理室の下方に設けられる処理室排気部と、
    少なくとも前記処理ガス供給部、前記不活性ガス供給部、前記処理室排気部を制御する制御部とを有する基板処理装置。
  2. 基板を処理する処理室と、
    前記処理室の上方に設けられ、前記処理室にガスを均一に供給する分散板を有するバッファ室と、
    前記バッファ室の天井構造として構成される天井板に設けられ、ガス供給方向に対して上流側に処理ガス供給部が接続される処理ガス供給孔と、
    前記天井板に設けられ、ガス供給方向に対して上流側に不活性ガス供給部が接続される不活性ガス供給孔と、
    前記処理ガス供給孔が内周側に位置され、前記不活性ガス供給孔が外周側に位置されるよう前記天井板の下流側の面に接続される周状の基端部と、
    前記基端部から前記処理室方向に径が広がるよう構成される円錐状の板部と、
    前記板部の内前記基端部と異なる端部である先端部と
    前記分散板の上流に設けられ、前記基端部と前記板部と前記先端部を有し、前記分散板の上方に設けられるガスガイドと、
    前記処理室の雰囲気を排気し、前記処理室の下方に設けられる処理室排気部と、
    少なくとも前記処理ガス供給部、前記不活性ガス供給部、前記処理室排気部を制御する制御部とを有する基板処理装置。
  3. 前記不活性ガス供給孔は、水平方向において、前記先端部と前記基端部との間に設けられる請求項1に記載の基板処理装置。
  4. 前記制御部は、前記処理ガス供給孔から供給されるガスの流量を前記不活性ガス供給孔から供給されるガスの流量よりも多くする処理ガス供給工程を実行するよう前記処理ガス供給部及び前記不活性ガス供給部を制御する請求項1または2に記載の基板処理装置。
  5. 更に、前記基板処理装置は、前記バッファ室に接続されると共に前記バッファ室の雰囲気を排気するバッファ室排気部とを有し、
    前記制御部は、前記処理ガスの供給を停止した後、前記不活性ガス供給孔から供給される不活性ガスの供給量を前記処理ガス供給工程よりも多くしつつ、前記バッファ室の雰囲気を排気するバッファ室排気工程を行うよう前記バッファ室排気部及び前記不活性ガス供給部を制御する請求項3に記載の基板処理装置。
  6. バッファ室の天井構造である天井板に設けられた処理ガス供給孔から、ガスガイドの内側領域、前記ガスガイドと処理室の間に設けられ前記バッファ室の底部として構成される分散板を介して前記処理室に原料ガスを供給すると共に、前記天井板に設けられる不活性ガス供給孔から前記ガスガイドの外側領域を介して不活性ガスを供給する第一処理ガス供給工程と、
    前記処理ガス供給孔から前記ガスガイドの内側領域及び前記分散板を介して前記処理室に反応ガスを供給する第二処理ガス供給工程と、
    前記第一処理ガス供給工程及び前記第二処理ガス供給工程を繰り返す基板処理工程と
    を有する半導体装置の製造方法。

JP2014015523A 2014-01-30 2014-01-30 基板処理装置及び半導体装置の製造方法 Active JP5859583B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2014015523A JP5859583B2 (ja) 2014-01-30 2014-01-30 基板処理装置及び半導体装置の製造方法
TW103104828A TW201530618A (zh) 2014-01-30 2014-02-14 基板處理裝置及半導體裝置之製造方法
CN201410092298.3A CN104821283B (zh) 2014-01-30 2014-03-13 衬底处理装置以及半导体装置的制造方法
KR1020140034839A KR101580939B1 (ko) 2014-01-30 2014-03-25 기판 처리 장치 및 반도체 장치의 제조 방법
US14/229,151 US8986450B1 (en) 2014-01-30 2014-03-28 Substrate processing apparatus and method of manufacturing semiconductor device
US14/500,338 US9659767B2 (en) 2014-01-30 2014-09-29 Substrate processing apparatus and method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014015523A JP5859583B2 (ja) 2014-01-30 2014-01-30 基板処理装置及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2015140472A true JP2015140472A (ja) 2015-08-03
JP5859583B2 JP5859583B2 (ja) 2016-02-10

Family

ID=52683256

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014015523A Active JP5859583B2 (ja) 2014-01-30 2014-01-30 基板処理装置及び半導体装置の製造方法

Country Status (5)

Country Link
US (2) US8986450B1 (ja)
JP (1) JP5859583B2 (ja)
KR (1) KR101580939B1 (ja)
CN (1) CN104821283B (ja)
TW (1) TW201530618A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015144185A (ja) * 2014-01-31 2015-08-06 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140288883A1 (en) * 2013-03-25 2014-09-25 Infineon Technologies Ag Method for determining an angle of a magnetic pole of a rotating object
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
KR102126146B1 (ko) * 2016-03-28 2020-06-23 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR102518372B1 (ko) * 2018-03-23 2023-04-06 삼성전자주식회사 가스 분배 장치, 이를 포함하는 기판 처리 장치 및 이를 이용하는 반도체 공정 방법
KR20220020820A (ko) 2019-05-15 2022-02-21 어플라이드 머티어리얼스, 인코포레이티드 챔버 잔류물들을 감소시키는 방법들
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
DE102020123076A1 (de) * 2020-09-03 2022-03-03 Aixtron Se Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07235501A (ja) * 1994-02-25 1995-09-05 Stanley Electric Co Ltd 結晶成長装置
JP2004076023A (ja) * 2001-08-01 2004-03-11 Tokyo Electron Ltd ガス処理装置およびガス処理方法
JP2005303292A (ja) * 2004-04-15 2005-10-27 Asm Japan Kk 薄膜形成装置
JP2009524244A (ja) * 2006-01-19 2009-06-25 エーエスエム アメリカ インコーポレイテッド 高温aldインレットマニホールド
JP2012153932A (ja) * 2011-01-25 2012-08-16 Aisin Seiki Co Ltd ノズル

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002065532A1 (fr) * 2001-02-15 2002-08-22 Tokyo Electron Limited Procede de traitement de piece et dispositif de traitement
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4762098B2 (ja) * 2006-09-28 2011-08-31 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US8075728B2 (en) * 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US9484213B2 (en) * 2008-03-06 2016-11-01 Tokyo Electron Limited Processing gas diffusing and supplying unit and substrate processing apparatus
JP5303984B2 (ja) 2008-03-26 2013-10-02 東京エレクトロン株式会社 成膜装置及び成膜方法
TWI445065B (zh) * 2009-12-18 2014-07-11 J E T Co Ltd Substrate processing device
JP5732284B2 (ja) * 2010-08-27 2015-06-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US20120255678A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode System for Substrate Plasma Processing
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP5793170B2 (ja) * 2013-09-30 2015-10-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5872028B2 (ja) * 2013-09-30 2016-03-01 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
TWI524388B (zh) * 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5897617B2 (ja) * 2014-01-31 2016-03-30 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5944429B2 (ja) * 2014-03-20 2016-07-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP5762602B1 (ja) * 2014-06-24 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP5775633B1 (ja) * 2014-09-29 2015-09-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07235501A (ja) * 1994-02-25 1995-09-05 Stanley Electric Co Ltd 結晶成長装置
JP2004076023A (ja) * 2001-08-01 2004-03-11 Tokyo Electron Ltd ガス処理装置およびガス処理方法
JP2005303292A (ja) * 2004-04-15 2005-10-27 Asm Japan Kk 薄膜形成装置
JP2009524244A (ja) * 2006-01-19 2009-06-25 エーエスエム アメリカ インコーポレイテッド 高温aldインレットマニホールド
JP2012153932A (ja) * 2011-01-25 2012-08-16 Aisin Seiki Co Ltd ノズル

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015144185A (ja) * 2014-01-31 2015-08-06 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
US9659767B2 (en) 2017-05-23
US8986450B1 (en) 2015-03-24
CN104821283B (zh) 2018-03-09
US20150214044A1 (en) 2015-07-30
KR20150090985A (ko) 2015-08-07
CN104821283A (zh) 2015-08-05
KR101580939B1 (ko) 2015-12-30
TW201530618A (zh) 2015-08-01
TWI562203B (ja) 2016-12-11
JP5859583B2 (ja) 2016-02-10

Similar Documents

Publication Publication Date Title
JP5859583B2 (ja) 基板処理装置及び半導体装置の製造方法
KR101846846B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9972500B2 (en) Method of manufacturing semiconductor device
JP5944429B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP5897617B2 (ja) 基板処理装置及び半導体装置の製造方法
KR101579503B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP5793170B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR101611202B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP5793241B1 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP5921591B2 (ja) 基板処理装置及び半導体装置の製造方法
JP5800957B1 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
KR20150077318A (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
KR20190035507A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JPWO2020175427A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150821

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150924

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151110

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151216

R150 Certificate of patent or registration of utility model

Ref document number: 5859583

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250