CN111492092A - 合金原子层沉积中前体的均质混合的系统和方法 - Google Patents

合金原子层沉积中前体的均质混合的系统和方法 Download PDF

Info

Publication number
CN111492092A
CN111492092A CN201880082209.3A CN201880082209A CN111492092A CN 111492092 A CN111492092 A CN 111492092A CN 201880082209 A CN201880082209 A CN 201880082209A CN 111492092 A CN111492092 A CN 111492092A
Authority
CN
China
Prior art keywords
metal precursor
precursor gas
supplying
chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880082209.3A
Other languages
English (en)
Inventor
伊拉尼特·费希尔
拉什纳·胡马雍
米卡尔·达内克
帕特里克·范克利蒙布特
施卢蒂·托姆贝尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111492092A publication Critical patent/CN111492092A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种方法包括:将衬底布置在处理室中;以及将所述衬底暴露于包含第一金属前体气体和第二金属前体气体的气体混合物,以将第一金属前体和第二金属前体同时沉积到所述衬底上。该方法还包括:清扫所述处理室;供应所述第一金属前体和所述第二金属前体两者共同的反应物,以在所述衬底上形成合金层;以及清扫所述处理室。

Description

合金原子层沉积中前体的均质混合的系统和方法
相关申请的交叉引用
本申请要求于2017年12月20日提交的美国临时申请No.62/608,273的权益。以上引用的申请的全部公开内容通过引用合并于此。
技术领域
本公开涉及衬底处理系统和方法,并且更具体地涉及在原子层沉积期间用于沉积合金单层的系统和方法。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前指定的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统用于在诸如半导体晶片之类的衬底上沉积、蚀刻、灰化、清洁或以其他方式处理膜。在膜沉积期间,将衬底在处理室中暴露于一种或多种前体和/或气体混合物。在原子层沉积(ALD)期间,执行多个ALD循环。每个ALD循环都沉积单层膜。每个ALD循环包括将衬底暴露于前体,清扫,将衬底暴露于反应物并再次清扫。在一些示例中,可以在某些步骤中使用等离子体来引起化学反应的发生。
对半导体晶片进行处理,以包括诸如晶体管、存储器等部件。一旦集成到电子设备中并进行操作,当部件受到工作温度、电压偏置和/或环境条件的影响时,可能会在部件中发生元素迁移。为了防止元素迁移,可以使用扩散阻挡层。扩散阻挡层包括薄的导电层,该导电层设置在其他两个层之间,以最大程度地减少操作期间各层之间的元素迁移。例如,扩散阻挡层可以位于金属层和介电层之间或介电层和硅层之间。
理想的扩散阻挡层性能包括:所关注元素的低扩散性、薄而均匀的层尺寸、高电导率和热导率、被动和热力学稳定性、良好的附着力、以及良好的抗机械和热应力性能。已经使用的扩散阻挡层的示例包括氮化钛(TiN)、ALD金属-有机/无机化合物(例如金属氮化物和碳化物),钨(W)和/或ALD合金。
与金属/有机-无机化合物相比,ALD合金具有良好的热力学稳定性和低电阻率。当沉积ALD合金时,一个或多个ALD循环用于沉积第一金属的一个或多个单层。然后,一个或多个ALD循环用于在第一金属上沉积一个或多个第二金属单层。之后,进行退火以产生第一和第二金属的合金。
由ALD合金制成的扩散阻挡层可通过控制金属之间的组成和比例来实现有效的功函数(EWF)可调性。但是,在某些应用中(例如双层栅电极),由于EWF受界面特性的强烈影响,因此EWF由与介电层接触的第一原子金属层控制。
发明内容
一种方法包括:将衬底布置在处理室中;以及将所述衬底暴露于包含第一金属前体气体和第二金属前体气体的气体混合物,以将第一金属前体和第二金属前体同时沉积到所述衬底上。该方法还包括:清扫所述处理室;供应所述第一金属前体和所述第二金属前体两者共同的反应物,以在所述衬底上形成合金层;以及清扫所述处理室。
在其他特征中,所述方法还包括以预定的比例供应所述第一金属前体气体和所述第二金属前体气体。
在其他特征中,所述方法还包括重复以下序列:使所述衬底暴露于所述气体混合物;清扫所述处理室;供应所述反应物;以及清扫所述处理室,直到预定厚度的所述合金沉积在所述衬底上。
在其他特征中,所述方法还包括:以预定的比例供应所述第一金属前体气体和所述第二金属前体气体;重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述处理室,供应所述反应物以及清扫所述处理室;并且当重复该序列时改变所述预定的比例。
在其他特征中,所述方法还包括改变所述第一金属前体气体和所述第二金属前体气体的流速以改变所述合金的金属组成。
在其他特征中,所述方法还包括:在供应所述第一金属前体气体和所述第二金属前体气体之前,选择用于操作所述处理室的参数;以及改变所述参数以改变所述合金的金属组成。
在其他特征中,所述方法进一步包括在不退火的情况下在所述衬底上形成所述合金层。
在还有的其他特征中,一种方法包括将衬底布置在处理室中;以及通过以下方式在所述衬底上形成合金层:将所述衬底暴露于包含第一金属前体气体和第二金属前体气体的气体混合物,以将第一金属前体和第二金属前体同时沉积到所述衬底上;清扫所述处理室;供应用于所述第一金属前体的第一反应物;清扫所述处理室;供应用于所述第二金属前体的第二反应物;以及清扫所述处理室。
在其他特征中,所述方法还包括以预定的比例供应所述第一金属前体气体和所述第二金属前体气体。
在其他特征中,所述方法还包括重复以下序列:供应所述气体混合物;清扫所述处理室;供应所述第一反应物;清扫所述处理室;供应所述第二反应物;以及清扫所述处理室,直到预定厚度的所述合金沉积在所述衬底上。
在其他特征中,所述方法还包括以预定的比例供应所述第一金属前体气体和所述第二金属前体气体;重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述处理室,供应所述第一反应物,清扫所述处理室,供应所述第二反应物以及清扫所述处理室;并且当重复该序列时改变所述预定的比例。
在其他特征中,所述方法进一步包括改变所述第一金属前体气体和所述第二金属前体气体的流速以改变所述合金的金属组成。
在其他特征中,所述方法还包括:在供应所述第一金属前体气体和所述第二金属前体气体之前,选择用于操作所述处理室的参数;以及改变所述参数以改变所述合金的金属组成。
在其他特征中,所述方法进一步包括在不退火的情况下在所述衬底上形成所述合金层。
在还有的其他特征中,一种衬底处理系统包括:用于处理半导体衬底的室;以及衬底支撑件,其布置在所述室中以在处理期间支撑所述半导体衬底。所述衬底处理系统还包括控制器,控制器耦合到所述室以:使所述半导体衬底暴露于包含第一金属前体气体和第二金属前体气体的气体混合物,以将第一金属前体和第二金属前体同时沉积到所述半导体衬底上;清扫所述室;供应所述第一金属前体和所述第二金属前体两者共同的反应物,以在所述半导体衬底上形成合金层;以及清扫所述室。
在其他特征中,所述衬底处理系统还包括多个分配腔,所述多个分配腔被配置为将所述第一金属前体气体和所述第二金属前体气体供应至所述室,而不与其他分配腔中的气体或前体相互混合。
在其他特征中,所述控制器被配置为控制从所述多个分配腔进行的所述第一金属前体气体和所述第二金属前体气体的供应,以提供所述第一金属前体和所述第二金属前体在所述半导体衬底上的均匀分布。
在其他特征中,所述控制器被配置为以预定的比例供应所述第一金属前体气体和所述第二金属前体气体。
在其他特征中,所述控制器被配置为重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述室,供应所述反应物,以及清扫所述室,直到在所述半导体衬底上沉积预定厚度的所述合金。
在其他特征中,所述控制器被配置为:以预定的比例供应所述第一金属前体气体和所述第二金属前体气体;以及重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述室,供应所述反应物以及清扫所述室;并且在重复该序列时改变所述预定的比例。
在其他特征中,所述控制器被配置为改变所述第一金属前体气体和所述第二金属前体气体的流速,以改变所述合金的金属组成。
在其他特征中,所述控制器被配置为:在供应所述第一金属前体气体和所述第二金属前体气体之前,选择用于操作所述室的参数;以及更改所述参数以更改合金的金属组成。
在还有的其他特征中,一种衬底处理系统包括:用于处理半导体衬底的室;和衬底支撑件,其布置在所述室中以在处理期间支撑所述半导体衬底。所述衬底处理系统还包括控制器,其耦合到所述室以通过以下方式在所述半导体衬底上形成合金层:使所述半导体衬底暴露于包含第一金属前体气体和第二金属前体气体的气体混合物,以将第一金属前体和第二金属前体同时沉积到所述半导体衬底上;清扫所述室;供应用于所述第一金属前体的第一反应物;清扫所述室;供应用于所述第二金属前体的第二反应物;以及清扫所述室。
在其他特征中,所述衬底处理系统还包括多个分配腔,所述多个分配腔被配置为将所述第一金属前体气体和所述第二金属前体气体供应至所述室,而不与其他分配腔中的气体或前体相互混合。
在其他特征中,所述控制器被配置为控制从所述多个分配腔进行的所述第一金属前体气体和所述第二金属前体气体的供应,以提供所述第一金属前体和所述第二金属前体在所述半导体衬底上的均匀分布。
在其他特征中,所述控制器被配置为以预定的比例供应所述第一金属前体气体和所述第二金属前体气体。
在其他特征中,所述控制器被配置为重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述室,供应所述第一反应物,清扫所述处理室,供应所述第二反应物,以及清扫所述室,直到在所述半导体衬底上沉积预定厚度的所述合金。
在其他特征中,所述控制器被配置为以预定的比例供应所述第一金属前体气体和所述第二金属前体气体;以及重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述室,供应所述第一反应物,清扫所述处理室,供应所述第二反应物以及清扫所述室;并且在重复该序列时改变所述预定的比例。
在其他特征中,所述控制器被配置为改变所述第一金属前体气体和所述第二金属前体气体的流速,以改变所述合金的金属组成。
在其他特征中,所述控制器被配置为:在供应所述第一金属前体气体和所述第二金属前体气体之前,选择用于操作所述室的参数;以及更改所述参数以更改合金的金属组成。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1A是包括第一ALD金属层和第二ALD金属层的衬底的侧视截面图,该第一ALD金属层和第二ALD金属层随后被退火以形成合金;
图1B是根据本公开的包括第一和第二ALD合金单层的衬底的侧视截面图;
图2是根据本公开的用于沉积ALD合金单层的衬底处理室的示例的功能框图;
图3是蒸气输送系统的示例的功能框图。
图4是根据本公开的用于沉积ALD合金单层的衬底处理室的另一示例的功能框图;
图5A是根据本公开的包括多个分配腔的气体分配装置的功能框图,该多个分配腔用于将多种前体和/或反应物分别地输送到处理室而不进行混合。
图5B是图5A的气体分配装置的侧视截面图;
图6是示出根据本公开的用于沉积ALD合金的方法的示例的流程图;和
图7是示出根据本公开的用于沉积ALD合金的方法的另一示例的流程图。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
根据本公开的系统和方法用于沉积ALD合金单层而不进行退火。尽管在以下示例中将ALD合金单层用作扩散阻挡层,但ALD合金单层也可以用于其他应用。通过表面化学吸附/反应可以精确地控制原子层水平上的金属原子混合(当沉积为ALD中间合金时)。相反,通过扩散热力学和动力学控制使用高温后沉积退火的金属原子地混合则不太精确。
常规的ALD合金是通过以下方式产生的:使用独立的ALD工艺分别沉积一个或多个第一金属单层,接着沉积一个或多个第二金属单层,然后退火以形成合金。根据本公开的系统和方法使衬底暴露于第一和第二金属前体,使得两种或更多种类型的金属原子同时被吸附(在单层的不同位置)。清扫处理室。然后,使衬底暴露于第一金属前体和第二金属前体两者共同的单一反应物,并且再次清扫处理室。替代地,将衬底依次暴露于用于第一金属前体的第一反应物(随后是清扫),然后暴露于用于第二金属前体的第二反应物(随后是清扫)。可以重复该过程,直到沉积出所需的厚度。在一些示例中,可以在吸附或反应步骤期间使用等离子体以产生化学反应。
可以通过在吸附步骤期间改变第一和第二金属前体的流速来改变ALD合金的金属组成。替代地,可以通过改变一个或多个工艺参数来改变ALD合金的金属组成。当第一金属和第二金属对选定的工艺参数具有不同的沉积灵敏性时,也会产生组成变化。EWF可调性取决于ALD合金中金属的比例。可以理解的是,ALD中间层合金具有从与下伏的介电层接触的第一原子层开始的可靠的EWF可调性。
例如,金属A和金属B的处理序列包括AxBy/AxBy/AxBy...的沉积,以提供由每个原子层中x和y值决定的受控比率。尽管可以为每个单层沉积相同的合金,但如果需要,可以通过更改前体在ALD循环之间的流量比例和/或改变上述处理参数中的一个参数来改变元素层(例如,AxBy/AaBb,其中x、y、a和b为整数)的元素比例。
根据本公开的沉积ALD合金单层的系统和方法与金属有机/无机扩散阻挡层相比具有增加的膜均匀性、热稳定性和EWF可调性,并且与TiN阻挡层相比具有改善的EWF可调性。
ALD合金单层通过选择具有不同功函数值的金属来提供EWF可调性,从而导致金属合金遵循特定的混合规则。如本文所述的ALD合金提供固有地高的热力学稳定性(在高温退火时没有有机/无机组分及其离析)。与金属/无机有机化合物相比,本文所述的ALD合金的金属特性具有低电阻率。
使用本文所述的ALD合金作为扩散阻挡层的其他优点包括:对于所关注的元素,扩散系数低;薄而均匀的层厚度;装置传热/释放的热导率高;由于相似的金属特性而对金属层的粘附性好;与氧化物的改进的粘附性和较低的反应性(另外,低功函金属与氧化物具有反应性,而高功函金属与氧化物的粘附性较差);和/或抗机械应力性提高。
现在参考图1A,示出了在执行各个金属层的ALD之后的衬底10。衬底10包括下伏层11。第一金属12的原子沉积在下伏层11上以形成第一均质单层。沉积第二金属13的原子以形成布置在第一均质单层上的第二均质单层。对于每个层,该过程可以重复一次或多次。随后进行退火以产生合金。
现在参照图1B,示出了在沉积根据本公开的ALD合金之后(并且没有退火)的衬底15。衬底15包括下伏层16。在第一ALD循环期间,第一金属17和第二金属18的原子同时沉积在下伏层16上以形成第一ALD合金单层。在第二ALD循环期间,第一金属17和第二金属18的原子同时沉积以形成布置在第一ALD合金单层上的第二ALD合金单层。对于每个层,该过程可以重复一次或多次。
现在参考下面的图2和图4,示出了一种或多种金属前体向处理室的输送中的变化的示例。在图2中,将气体混合物和/或汽化的前体输送至共同的歧管以进行混合,然后再输送至气体分配装置,例如喷头。在图4中,将气体混合物和/或汽化的前体在气体分配装置中混合,或使用多分配室气体分配装置,并且直到处理室才发生混合。
在图2中,示出了示例性衬底处理系统20。衬底处理系统20包括处理室22,处理室22包围衬底处理系统20的其他部件并且包含RF等离子体(如果使用的话)。衬底处理系统20包括上电极24和静电卡盘(ESC)26或其他衬底支撑件。在操作期间,将衬底28布置在ESC 26上。
仅举例而言,上电极24可包括气体分配装置29,例如喷头,其引入和分配处理气体。气体分配装置29可包括杆部,杆部包括连接到处理室的顶部表面的一端。基部部分通常为圆柱形,并且在与处理室的顶部表面间隔开的位置处从杆部的相对端径向向外延伸。喷头的基部部分的面向衬底的表面或面板包括让前体、反应物、其他处理气体或清扫气体流过的多个孔。替代地,上电极24可包括导电板,并且可以以另一种方式引入处理气体。
ESC 26包括用作下电极的基板30。基板30支撑加热板32,该加热板32可以对应于陶瓷多区域加热板。可以在加热板32和基板30之间布置热阻层34。基板30可以包括一个或多个用于使冷却剂流过基板30的通道36。
如果使用等离子体,则RF产生系统40产生RF电压并将RF电压输出到上电极24和下电极(例如,ESC 26的基板30)中的一者。上电极24和基板30中的另一个可以是DC接地、AC接地或浮动的。仅举例而言,RF产生系统40可以包括RF产生器42,该RF产生器42产生由匹配和分配网络44馈送到上电极24或基板30的RF功率。在其他示例中,等离子体可以感应地产生或远程地产生。
气体输送系统50包括一个或多个气体源52-1、52-2、…和52-N(统称为气体源52),其中N是大于零的整数。气体源52通过阀54-1、54-2、…和54-N(统称为阀54)和质量流量控制器56-1、56-2,…和56-N(统称为质量流量控制器56)连接到歧管60。虽然示出了单个气体输送系统50,但是可以使用两个或更多个气体输送系统。如果使用汽化的前体,则可以使用一个或多个蒸气输送系统61-1、61-2、...、61-V(统称为蒸气输送系统61)将一种或多种汽化的前体供应到歧管60或连接到处理室的另一个歧管(未显示)。例如,汽化的前体可以包括金属前体。替代地,如果金属前体可以作为气体输送,则可以省略蒸气输送系统中的一个或多个。歧管60的输出被馈送到处理室22。
温度控制器63可以连接到布置在加热板32中的多个热控制元件(TCE)64。温度控制器63可以用于控制多个TCE 64以控制ESC 26和衬底28的温度。温度控制器63可与冷却剂组件66通信,以控制流过通道36的冷却剂流。例如,冷却剂组件66可包括冷却剂泵、贮存器和/或一个或多个温度传感器。温度控制器63操作冷却剂组件66以使冷却剂选择性地流过通道36以冷却ESC 26。
阀70和泵72可以用于从处理室22中排放反应物。系统控制器80可以用于控制衬底处理系统20的部件。
现在参考图3,蒸气输送系统61从推送气体源120接收气体。在一些示例中,推送气体源包括一种或多种惰性气体或载气。由推送气体源120输出的推送气体的流率由质量流量控制器(MFC)126或其他流量控制装置调节。阀124可以布置在推送气体源120和MFC 126之间。MFC126的输出连接到阀132和134的入口。阀134的出口连接到包含液体前体112和汽化的前体114的安瓿110。阀132的出口连接到阀136的入口和阀140的入口。阀136的出口连接到安瓿110的出口。阀140的出口连接到歧管60和排放系统141。
阀132、134和136在不使用时,可以处于关闭状态。在紧接沉积之前的一段时间内,阀140可在转向位置布置通向排放系统141,以使得汽化的前体的流动达到稳态。在此期间,阀134和136打开,而阀132关闭。来自推送气体源120的推送气体被引导通过阀134、安瓿110、阀136和阀142进入排放系统141。
在沉积期间,阀140布置在非转向位置,以允许汽化的前体流到歧管60而不是排放系统141。在沉积期间,阀134和136打开并且阀132打开。关闭。来自推送气体源120的推送气体被引导通过阀134,安瓿110,阀136和阀142进入歧管60。
在图4中,如果使用具有多于一个的分配腔的气体分配装置156,则在气体分配装置中或在处理室中进行处理气体和/或汽化前体的混合。
现在参考图5A和5B,示出了气体分配装置156的示例。在图5A中,示出了气体分配装置156,其包括多个分配腔174-1、174-2、...和174-P(统称为分配腔174)。气体和/或前体通过分配腔输送到处理室,而不会与其他分配腔中的气体或前体混合。气体或蒸气输送系统176-1、176-2、...和176-P分别向分配腔174-1、174-2、...和174-P供应气体或汽化的前体。在该示例中,分配腔相对于衬底在轴向方向上堆叠。但是,分配腔174也可以沿径向布置。在一些示例中,对应于每个分配腔174的通孔在径向和/或方位角方向上均匀地布置以在下伏衬底上提供均匀的分布。
在图5B中,分配腔174-1、174-2、...和174-N中的每一个包括多个通孔190。在一些示例中,分配腔174通常成形为扁平圆筒体的形状。为了保持气体混合物与分配腔174-1的分离,在下部分配腔174-2...174-P中布置了具有相应对齐通孔193的柱192,以使得分配腔174-1中的气体混合物能行进通过孔190、193以到达处理室,而不会在分配腔174-2...174-P中相互混合。类似的方法用于分配腔174中的其他分配腔。
现在参考图6,示出了根据本公开的用于执行ALD的方法200。在210,将衬底布置在室中。在212处,设置室压强、处理温度和/或其他处理参数(例如等离子体功率和频率等)。在216处,在处理室中将衬底暴露于第一金属前体和第二金属前体。在一些示例中,将第一金属前体和第二金属前体以预定的比例输送至处理室,并且将衬底暴露于两种前体持续预定时间段。
在220,在预定时间段之后,清扫处理室。在一些示例中,使用一种或多种惰性气体,诸如氦气(He)、氩气(Ar)、氖气(Ne)、氪气(Kr)、氙气(Xe)和/或氡气(Rn)。在224,将第一金属前体和第二金属前体共同的反应物供应给处理室持续预定的时间段。在228,清扫处理室。在232,该方法确定是否要执行附加循环。如果232为真,则该方法返回到216。否则该方法结束。
现在参考图7,示出了根据本公开的用于执行ALD的方法250。在260处,将衬底布置在室中。在262处,设置室压力、处理温度和其他处理参数(例如等离子体功率和频率等)。在266处,在处理室中将衬底暴露于第一金属前体和第二金属前体。在一些示例中,将第一金属前体和第二金属前体以预定的比例输送至处理室,并且将衬底暴露于两种前体持续预定时间。
在270处,在预定时间段之后,清扫处理室。在274处,将对应于第一金属前体和第二金属前体中的一者的反应物供应到处理室持续预定的时间段。在278,清扫处理室。在282,将与第一金属前体和第二金属前体中的另一者相对应的反应物供应给处理室持续预定的时间。在286,清扫处理室。在290,该方法确定是否要执行附加循环。如果290为真,则该方法返回到266。否则该方法结束。
在一些示例中,基于EWF的可调性和换算因子(a scaling factor)来选择ALD合金中的金属。选择金属合金中金属的其他因子包括熔化温度、电阻率、钝化度/反应性、与金属化的不溶混性等。在一些示例中,金属合金中的金属包括以下金属中的至少一种:铪(HF)、镍(Ni)、铝(Al)、铂(Pt)、钇(Y)、钛(Ti)、钨(W)和其他合适的金属。在一些示例中,反应物包括分子氢(H2),氨气(NH3)或其他合适的反应物。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的加载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (30)

1.一种方法,其包括:
将衬底布置在处理室中;
将所述衬底暴露于包含第一金属前体气体和第二金属前体气体的气体混合物,以将第一金属前体和第二金属前体同时沉积到所述衬底上;
清扫所述处理室;
供应所述第一金属前体和所述第二金属前体两者共同的反应物,以在所述衬底上形成合金层;以及
清扫所述处理室。
2.根据权利要求1所述的方法,其还包括以预定的比例供应所述第一金属前体气体和所述第二金属前体气体。
3.根据权利要求1所述的方法,其还包括重复以下序列:使所述衬底暴露于所述气体混合物;清扫所述处理室;供应所述反应物;以及清扫所述处理室,直到预定厚度的所述合金沉积在所述衬底上。
4.根据权利要求1所述的方法,其还包括:
以预定的比例供应所述第一金属前体气体和所述第二金属前体气体;
重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述处理室,供应所述反应物以及清扫所述处理室;并且
当重复该序列时改变所述预定的比例。
5.根据权利要求1所述的方法,其进一步包括改变所述第一金属前体气体和所述第二金属前体气体的流速以改变所述合金的金属组成。
6.根据权利要求1所述的方法,其还包括:
在供应所述第一金属前体气体和所述第二金属前体气体之前,选择用于操作所述处理室的参数;以及
改变所述参数以改变所述合金的金属组成。
7.根据权利要求1所述的方法,其进一步包括在不退火的情况下在所述衬底上形成所述合金层。
8.一种方法,其包括:
将衬底布置在处理室中;以及
通过以下方式在所述衬底上形成合金层:
将所述衬底暴露于包含第一金属前体气体和第二金属前体气体的气体混合物,以将第一金属前体和第二金属前体同时沉积到所述衬底上;
清扫所述处理室;
供应用于所述第一金属前体的第一反应物;
清扫所述处理室;
供应用于所述第二金属前体的第二反应物;以及
清扫所述处理室。
9.根据权利要求8所述的方法,其还包括以预定的比例供应所述第一金属前体气体和所述第二金属前体气体。
10.根据权利要求8所述的方法,其还包括重复以下序列:供应所述气体混合物;清扫所述处理室;供应所述第一反应物;清扫所述处理室;供应所述第二反应物;以及清扫所述处理室,直到预定厚度的所述合金沉积在所述衬底上。
11.根据权利要求8所述的方法,其还包括:
以预定的比例供应所述第一金属前体气体和所述第二金属前体气体;
重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述处理室,供应所述第一反应物,清扫所述处理室,供应所述第二反应物以及清扫所述处理室;并且
当重复该序列时改变所述预定的比例。
12.根据权利要求8所述的方法,其进一步包括改变所述第一金属前体气体和所述第二金属前体气体的流速以改变所述合金的金属组成。
13.根据权利要求8所述的方法,其还包括:
在供应所述第一金属前体气体和所述第二金属前体气体之前,选择用于操作所述处理室的参数;以及
改变所述参数以改变所述合金的金属组成。
14.根据权利要求8所述的方法,其进一步包括在不退火的情况下在所述衬底上形成所述合金层。
15.一种衬底处理系统,其包括:
用于处理半导体衬底的室;
衬底支撑件,其布置在所述室中以在处理期间支撑所述半导体衬底;和
控制器,其耦合到所述室以:
使所述半导体衬底暴露于包含第一金属前体气体和第二金属前体气体的气体混合物,以将第一金属前体和第二金属前体同时沉积到所述半导体衬底上;
清扫所述室;
供应所述第一金属前体和所述第二金属前体两者共同的反应物,以在所述衬底上形成合金层;以及
清扫所述室。
16.根据权利要求15所述的衬底处理系统,其还包括多个分配腔,所述多个分配腔被配置为将所述第一金属前体气体和所述第二金属前体气体供应至所述室,而不与其他分配腔中的气体或前体相互混合。
17.根据权利要求16所述的衬底处理系统,其中,所述控制器被配置为控制从所述多个分配腔进行的所述第一金属前体气体和所述第二金属前体气体的供应,以提供所述第一金属前体和所述第二金属前体在所述半导体衬底上的均匀分布。
18.根据权利要求15所述的衬底处理系统,其中,所述控制器被配置为以预定的比例供应所述第一金属前体气体和所述第二金属前体气体。
19.根据权利要求15所述的衬底处理系统,其中,所述控制器被配置为重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述室,供应所述反应物,以及清扫所述室,直到在所述半导体衬底上沉积预定厚度的所述合金。
20.根据权利要求15所述的衬底处理系统,其中,所述控制器被配置为:
以预定的比例供应所述第一金属前体气体和所述第二金属前体气体;以及
重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述室,供应所述反应物以及清扫所述室;并且
在重复该序列时改变所述预定的比例。
21.根据权利要求15所述的衬底处理系统,其中,所述控制器被配置为改变所述第一金属前体气体和所述第二金属前体气体的流速,以改变所述合金的金属组成。
22.根据权利要求15所述的衬底处理系统,其中,所述控制器被配置为:
在供应所述第一金属前体气体和所述第二金属前体气体之前,选择用于操作所述室的参数;以及
更改所述参数以更改合金的金属组成。
23.一种衬底处理系统,其包括:
用于处理半导体衬底的室;
衬底支撑件,其布置在所述室中以在处理期间支撑所述半导体衬底;和
控制器,其耦合到所述室以通过以下方式在所述半导体衬底上形成合金层:
使所述半导体衬底暴露于包含第一金属前体气体和第二金属前体气体的气体混合物,以将第一金属前体和第二金属前体同时沉积到所述半导体衬底上;
清扫所述室;
供应用于所述第一金属前体的第一反应物;
清扫所述室;
供应用于所述第二金属前体的第二反应物;以及
清扫所述室。
24.根据权利要求23所述的衬底处理系统,其还包括多个分配腔,所述多个分配腔被配置为将所述第一金属前体气体和所述第二金属前体气体供应至所述室,而不与其他分配腔中的气体或前体相互混合。
25.根据权利要求24所述的衬底处理系统,其中,所述控制器被配置为控制从所述多个分配腔进行的所述第一金属前体气体和所述第二金属前体气体的供应,以提供所述第一金属前体和所述第二金属前体在所述半导体衬底上的均匀分布。
26.根据权利要求23所述的衬底处理系统,其中,所述控制器被配置为以预定的比例供应所述第一金属前体气体和所述第二金属前体气体。
27.根据权利要求23所述的衬底处理系统,其中,所述控制器被配置为重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述室,供应所述第一反应物,清扫所述处理室,供应所述第二反应物,以及清扫所述室,直到在所述半导体衬底上沉积预定厚度的所述合金。
28.根据权利要求23所述的衬底处理系统,其中,所述控制器被配置为:
以预定的比例供应所述第一金属前体气体和所述第二金属前体气体;以及
重复以下序列:供应所述第一金属前体气体和所述第二金属前体气体,清扫所述室,供应所述第一反应物,清扫所述处理室,供应所述第二反应物以及清扫所述室;并且
在重复该序列时改变所述预定的比例。
29.根据权利要求23所述的衬底处理系统,其中,所述控制器被配置为改变所述第一金属前体气体和所述第二金属前体气体的流速,以改变所述合金的金属组成。
30.根据权利要求23所述的衬底处理系统,其中,所述控制器被配置为:
在供应所述第一金属前体气体和所述第二金属前体气体之前,选择用于操作所述室的参数;以及
更改所述参数以更改合金的金属组成。
CN201880082209.3A 2017-12-20 2018-12-06 合金原子层沉积中前体的均质混合的系统和方法 Pending CN111492092A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762608273P 2017-12-20 2017-12-20
US62/608,273 2017-12-20
PCT/US2018/064174 WO2019125774A1 (en) 2017-12-20 2018-12-06 Systems and methods for homogenous intermixing of precursors in alloy atomic layer deposition

Publications (1)

Publication Number Publication Date
CN111492092A true CN111492092A (zh) 2020-08-04

Family

ID=66993866

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880082209.3A Pending CN111492092A (zh) 2017-12-20 2018-12-06 合金原子层沉积中前体的均质混合的系统和方法

Country Status (4)

Country Link
US (2) US11827976B2 (zh)
KR (1) KR20200091491A (zh)
CN (1) CN111492092A (zh)
WO (1) WO2019125774A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112526663A (zh) * 2020-11-04 2021-03-19 浙江大学 一种基于原子层沉积的吸收膜及其制作方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3027378B1 (ja) * 1999-03-19 2000-04-04 川崎重工業株式会社 化学気相蒸着による合金膜の製造方法及び装置
US20060110930A1 (en) * 2004-08-16 2006-05-25 Yoshihide Senzaki Direct liquid injection system and method for forming multi-component dielectric films
US20090098276A1 (en) * 2007-10-16 2009-04-16 Applied Materials, Inc. Multi-gas straight channel showerhead
US20130288427A1 (en) * 2012-04-25 2013-10-31 Steven Hung Methods Of Fabricating Dielectric Films From Metal Amidinate Precursors
US20130309417A1 (en) * 2012-05-16 2013-11-21 Asm Ip Holding B.V. Methods for forming multi-component thin films
US20150007770A1 (en) * 2013-07-03 2015-01-08 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6537613B1 (en) * 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
TWI624560B (zh) * 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
CN110592554A (zh) * 2013-06-26 2019-12-20 应用材料公司 沉积金属合金膜的方法
US9502242B2 (en) * 2014-02-05 2016-11-22 Applied Materials, Inc. Indium gallium zinc oxide layers for thin film transistors
US11421321B2 (en) * 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3027378B1 (ja) * 1999-03-19 2000-04-04 川崎重工業株式会社 化学気相蒸着による合金膜の製造方法及び装置
US20060110930A1 (en) * 2004-08-16 2006-05-25 Yoshihide Senzaki Direct liquid injection system and method for forming multi-component dielectric films
US20090098276A1 (en) * 2007-10-16 2009-04-16 Applied Materials, Inc. Multi-gas straight channel showerhead
US20130288427A1 (en) * 2012-04-25 2013-10-31 Steven Hung Methods Of Fabricating Dielectric Films From Metal Amidinate Precursors
US20130309417A1 (en) * 2012-05-16 2013-11-21 Asm Ip Holding B.V. Methods for forming multi-component thin films
US20150007770A1 (en) * 2013-07-03 2015-01-08 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112526663A (zh) * 2020-11-04 2021-03-19 浙江大学 一种基于原子层沉积的吸收膜及其制作方法

Also Published As

Publication number Publication date
US20200407842A1 (en) 2020-12-31
US20240084443A1 (en) 2024-03-14
WO2019125774A1 (en) 2019-06-27
KR20200091491A (ko) 2020-07-30
US11827976B2 (en) 2023-11-28

Similar Documents

Publication Publication Date Title
CN106024596B (zh) 减少无定形碳硬掩模膜的碳-氢含量的方法
CN110098100B (zh) 用分子反应性清扫气体改善dc偏置的缺陷控制和稳定性
US10283404B2 (en) Selective deposition of WCN barrier/adhesion layer for interconnect
CN107460449B (zh) 用于增强填充物和减少衬底撞击的原子层沉积
KR102443978B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
TWI805644B (zh) 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法
US20240084443A1 (en) Systems and methods for homogenous intermixing of precursors in alloy atomic layer deposition
CN113169056A (zh) 用于钨的钼模板
CN112313785A (zh) 去除金属氧化物膜的温度控制系统和方法
CN112673456A (zh) 使用亚稳的活化自由基物质的原子层处理工艺
WO2019241018A1 (en) Substrate processing chamber with showerhead having cooled faceplate
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
CN111032911B (zh) 无外部电压偏置的水溶液中的选择性无电电化学电原子层沉积
WO2019190795A1 (en) Intermediate layer for metal interconnect layer
US10358717B2 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
WO2024097068A1 (en) Dual nitrogen flow capability for low fluorine tungsten deposition

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination