JP5826353B2 - 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ - Google Patents

半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ Download PDF

Info

Publication number
JP5826353B2
JP5826353B2 JP2014202833A JP2014202833A JP5826353B2 JP 5826353 B2 JP5826353 B2 JP 5826353B2 JP 2014202833 A JP2014202833 A JP 2014202833A JP 2014202833 A JP2014202833 A JP 2014202833A JP 5826353 B2 JP5826353 B2 JP 5826353B2
Authority
JP
Japan
Prior art keywords
gas
showerhead electrode
flow path
gas flow
electrode assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014202833A
Other languages
English (en)
Other versions
JP2015029132A (ja
Inventor
アンドレアス フィッシャー,
アンドレアス フィッシャー,
ラージンダー ディーンドサ,
ラージンダー ディーンドサ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2015029132A publication Critical patent/JP2015029132A/ja
Application granted granted Critical
Publication of JP5826353B2 publication Critical patent/JP5826353B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

本発明は、半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリに関する。
半導体材料処理の分野では、例えば、基板上の様々な材料のエッチング及び化学気相成長(CVD)のために、またレジスト剥離のために、真空処理チャンバを備える半導体材料処理装置が使用される。これらの処理のいくつかは、このような処理チャンバ内で腐食性及び浸食性の処理ガスならびにプラズマを利用する。これらのチャンバ内で処理される基板の粒子及び/又は金属汚染を最小限度に抑えることが望ましい。したがって、このような装置の処理に曝されるコンポーネントは、低粒子性能を有していることが望ましい。
米国特許第5,534,751号 米国特許第5,998,932号 米国特許第6,019,060号 米国特許第6,178,919号 米国特許第6,527,911号 米国特許出願第2006/0207502号
半導体材料処理装置用のシャワーヘッド電極の例示的な一実施形態は、上面、上面に設けられたガス注入口、及び、ガス注入口と流体連結する少なくとも1つのプレナムを含む底面を備える半導体材料の上部電極と、上部電極の底面に結合された上面、プラズマに曝される底面、及び、プレナムと流体連結する貫通する複数のガス孔を備える半導体材料の底部電極と、を具備する。
半導体材料処理装置用のシャワーヘッド電極アセンブリの例示的な一実施形態は、底面、処理ガス供給部(processgas supply section)及び加熱ガス供給部(heating gas supply section)と流体連結するように構成された第1ガス流路、並びに、熱伝達ガス供給部(heattransfer gas supply section)と流体連結するように構成された第2ガス流路を備える上部プレートと、上部プレートから弾力的に吊り下げられたシャワーヘッド電極であって、第2ガス流路と流体連結するが第1ガス流路とは流体連結しない間隙により上部プレートの底面から間隔をあけて並ぶ上面、ガス孔を備えるプラズマに曝される底面、及び、第1ガス流路及びガス孔と流体連結するが第2ガス流路とは流体連結しないガスマニホールドを備えるシャワーヘッド電極と、を具備する。
シャワーヘッド電極を含むシャワーヘッド電極アセンブリを備えるプラズマ処理チャンバ内で半導体基板を処理する方法の例示的な一実施形態は、予熱された加熱ガスを加熱ガス供給部からシャワーヘッド電極アセンブリ内の第1ガス流路を介してシャワーヘッド電極へ供給してシャワーヘッド電極を加熱する工程と、シャワーヘッド電極への加熱ガスの供給を終了する工程と、処理ガスを処理ガス供給部から第1ガス流路を介してシャワーヘッド電極へ供給する工程と、処理ガスを活性化してプラズマ処理チャンバ内にプラズマを生成し、プラズマ処理チャンバ内の基板支持部材上に配置されている基板をプラズマ処理する工程と、熱伝達ガスを熱伝達ガス供給部からシャワーヘッド電極アセンブリ内の第2ガス流路を介してシャワーヘッド電極アセンブリへ供給し、プラズ生成中にシャワーヘッド電極から熱を伝える工程とを含み、第1ガス流路は、第2ガス流路から流れが遮断されている(flow isolated)。
プラズマ処理チャンバの例示的な一実施形態を示す図である。 図1に示されているシャワーヘッド電極アセンブリの上部電極の底面図である。 図1に示されているシャワーヘッド電極アセンブリの底部電極の底面図である。
半導体材料プラズマ処理装置用のシャワーヘッド電極及びシャワーヘッド電極アセンブリ、並びに、シャワーヘッド電極アセンブリの実施形態を含むプラズマ処理チャンバ内の半導体材料を処理する方法について説明する。シャワーヘッド電極アセンブリは、電極温度制御機能に加え低粒子性能を提供する。シャワーヘッド電極アセンブリは、モジュール設計を採用している。シャワーヘッド電極アセンブリのいくつかの実施形態は、マルチゾーンガス注入機能を有する。
図1は、例えば直径200mm又は300mmの半導体ウエハなどの半導体材料基板を処理するためのプラズマ処理装置の容量結合プラズマ処理チャンバ10の例示的な一実施形態を示している。図に示されているように、シャワーヘッド電極アセンブリ20は、基板支持アセンブリ22の上に設けられる。シャワーヘッド電極アセンブリ20は、上部プレート21及びシャワーヘッド電極24を備え、基板支持アセンブリ22は、下側電極26を備える。プラズマ処理チャンバ10は、上部プレート21内のガス流路30を介してシャワーヘッド電極24に処理ガスを供給するように構成された処理ガス供給源(process gas supply)28を有する処理ガス供給部を備える。プラズマ処理の間、下側電極26に電力が供給され、これにより、プラズマ処理チャンバ10内に導入される処理ガスが活性化され、さらにプラズマが発生して基板支持アセンブリ22の表面34上で支持されている基板32が処理される。図に示されているように、プラズマ処理チャンバの実施形態は、シャワーヘッド電極24と基板支持アセンブリ22との間に画定されたプラズマ閉じ込めゾーン38内にプラズマを閉じ込めるように構成されたプラズマ閉じ込めリングアセンブリ36を備える。
この実施形態では、シャワーヘッド電極24は、底部電極42に取り付けられた上部電極40を備える。上部電極40及び底部電極42は、典型的には円板である。シャワーヘッド電極24は、ブラケット46によって上部プレート21から吊り下げられ、上部電極40の上面48は、上部プレート21の底面50から離されている。ブラケット46は、上部プレート21及びシャワーヘッド電極24に留めることができる。他の実施形態では、ブラケット46は、上部プレート21に留めることができるが、シャワーヘッド電極24には取り付けることができず、シャワーヘッド電極24はブラケット46の上に載る。
この実施形態では、上部電極40は、シャワーヘッド電極24内に形成されたガスマニホールドと流体連結する単一のガス注入口52を備える。ガスマニホールドは、上部電極40の底面54内に形成された少なくとも1つのプレナムを備える。複数のプレナムを備える実施形態では、プレナムは、互いに流体連結している。実施形態では、ガスマニホールドは、3つのプレナムを備える、つまり、好ましくは底面54の中心部に配置されている第1プレナム56、並びに、第1プレナム56から半径方向に間隔をあけて並ぶ第2プレナム58及び第3プレナム60を備える。他の実施形態では、上部電極40は、第1のプレナム56を囲む、単一のプレナムのみ、又は、2つよりも多いプレナムを含み得る。図2に示されているように、第1プレナム56は、円形であり、第2プレナム58及び第3プレナム60は、同心環状流路である。上部電極40は、第1プレナム56と第2プレナム58との間を流体連結するための周上に間隔をあけて並ぶ放射状ガス流路62と、第2プレナム58と第3プレナム60との間を流体連結するための周上に間隔をあけて並ぶ放射状ガス流路64を備える。この実施形態では、放射状ガス流路62、64は、位置が揃えられている。ガス注入口52、プレナム56、58、60、及び放射状ガス流路62、64は、上部電極40内で機械加工される。
第1プレナム56、第2プレナム58及び第3プレナム60は、所望のガス圧力状態を作り出すのに好適な容積を有する。例えば、第2プレナム58は、第1プレナム56の容積よりも大きな容積を持つことができ、第3プレナム60は、第2プレナム58の容積よりも大きな容積を持つことができる。上部電極40及び底部電極42は、ほぼ同じ厚さ又は異なる厚さを持つことができる。
ガスマニホールドは、底部電極42内のガス孔にガスを同時に供給するように構成されている。図1及び3に示されているように、底部電極42は、その中を貫通し、同心円状に配列されたガス孔66、68、70を備え、ガス孔66、68、70のそれぞれのグループは複数の周上に間隔をあけて並ぶガス孔を備える。ガス孔66は、第1プレナム56と流体連結し、ガス孔68の2つのグループは、第2プレナム58と流体連結し、ガス孔70の2つのグループは、第3プレナム60と流体連結する。他の実施形態では、底部電極42は、第2プレナム58及び/又は第3のプレナム60とそれぞれ流体連結しているガス孔68、70の単一のグループ、又は2つよりも多いグループを備えることができる。この実施形態では、プレナム56、58、60は、ガス流ゾーンを形成する。他の実施形態では、シャワーヘッド電極アセンブリ20は、複数のガス流ゾーン、例えば、少なくとも1つの内側ガス流ゾーン及び内側ガス流ゾーンを囲む外側ガス流ゾーンを備えることができる。例えば、内側ガス流ゾーンは、シャワーヘッド電極の特定の半径に制限され、また外側ガス流ゾーンは、シャワーヘッド電極の隣接する放射状部分の内側ガス流ゾーンを繰り返すことができる。複数のガス流ゾーンにより、基板32の中心部分と周縁(又は中間範囲)部分との間のガス流制御を独立に行うことができる。
上部電極40及び底部電極42は、単結晶シリコン、多結晶シリコン、SiC、SiN、及び同様のものなどの好適な半導体材料から構成されうる。上部電極40及び底部電極は、異なる材料で構成されうる。高純度単結晶シリコンは、プラズマ処理中に、基板の汚染を最小限に抑え、さらに、磨耗を滑らかにし、したがって粒子が最小限に抑えられる。好ましくは、上部電極40及び底部電極42は、互いに接合された単結晶シリコンからなり、互いに拡散接合される。好ましくは、上部電極40と底部電極42との間に、シリコン又は酸化ケイ素以外の異種接合材料は使用されない。したがって、拡散接合シャワーヘッド電極は、そのような他の異種接合材料を使用することに比べウエハ汚染の低減に関して有利である。
この実施形態では、上部プレート21は、その温度を制御するために好ましくは液冷される。例えば、上部プレート21は、図1に示されているように、温度制御液体供給源(temperature-controlled liquid supply)72と液体流路73を介して流体連結する1つ又は複数の液体流路を備えることができる。冷却液、例えば、水は、通常約17℃から約20℃までの温度を有することができる。上部プレート21は、好ましくは、内蔵ヒータを備えていない。
図1に示されているように、間隙76(つまり、開放空間)は、上部プレート21の底面50と上部電極40の上面48との間に画定される。シャワーヘッド電極24に到達したプラズマからのRFエネルギーは、上部プレート21への間隙76に亙ってシャワーヘッド電極24に容量結合されようにでき、これはRF接地となる。Oリング又は同様のものなどのシール78、80は、底面50と上面48との間に配置され、圧密ガスシールを形成する。シール78は、処理ガス注入口52から間隙を隔離し、シール80は、プラズマ処理チャンバ10の外側部分から間隙76を隔離する。上部プレート21と上部電極40との間に、追加のシールを設けて、シャワーヘッド電極24の複数処理ガスゾーン注入又は複数ゾーン温度制御を行うようにできる。処理ガスゾーン毎に1つの追加シールを配置することができる。
シャワーヘッド電極アセンブリ20は、上部プレート21の底面50と上部電極40の上面48との間に配置されるローラ82を備える。ローラ82は、間隙76の予めセットされた高さを維持し、シャワーヘッド電極アセンブリ20の熱膨張に適応するために備えられている。ローラ82は、上部プレート21の底面50内に形成された凹部内に据え付けられる。例えば、それぞれの凹部内に受け入れられる3つ以上のローラ82は、円状に配列されうる。ローラ82は、好ましくは、球形であり、これは、シャワーヘッド電極アセンブリ20の熱膨張の間、上部プレート21及び上部電極40との摩擦接触が最小になるように回転しうる。
ローラ82は、ステンレススチールなどの金属、セラミック、及びポリテトラフルオロエチレンなどのポリマーを含む任意の好適な材料を含むことができる。金属ローラ82を備える実施形態では、上部プレート21と金属ローラとの間の電気的接触を防ぐために電気絶縁体を凹部内に設けることがいくつかの用途では望ましいことがある。
上部プレート21の底面50と上部電極40の上面48との間の間隙76は、好ましくは、約50μmから約100μmなど、約25μmから約150μmまでの高さを有する。底面50及び上面48は、好ましくは非常に滑らかかつ平らであって、間隙全体にわたって放射状に間隙76の一定の高さを維持する。
この実施形態では、シャワーヘッド電極24は、ブラケット46によって上部プレート21から弾力的に吊り下げられている。図1に示されている例示的なL字型支持ブラケット46は、シャワーヘッド電極24の底部電極42が載る底部84を備える。好ましくは、支持ブラケット46の底部84のみが、シャワーヘッド電極24に接触する。支持ブラケット46は、支持ブラケット46と上部プレート21との間の摩擦作用を最小限に抑えつつシャワーヘッド電極アセンブリ20の熱膨張及び/又は収縮に適応する十分な薄さと弾力性を有する材料を含む。このような熱膨張及び/又は収縮の間、ブラケット46は横方向(つまり、半径方向)に曲がりうる。例えば、支持ブラケット46は、上部プレート21とシャワーヘッド電極24との間の直流伝導をもたらしうる、金属材料を含むことができる。ブラケットによるチャンバの汚染の可能性を最小限に抑えるために、ブラケットの(1つ又は複数の)プラズマに曝される表面を、石英、イットリア、シリコン、炭化ケイ素、アルミナ、又はポリマー材料などの耐食性及び耐摩耗性を有し、さらには汚染をもたらさない(contamination-neutral)材料でコーティングすることができる。
この実施形態では、シャワーヘッド電極アセンブリ20は、プラズマがOFF状態のとき(例えば、ツールが働いていない(idle)状態でウエハが輸送されている期間)及びウエハ処理中のプラズマがON状態のときにシャワーヘッド電極24の温度を制御するためにシャワーヘッド電極24を加熱したり、又は冷却したりするための要素と組み合わせて使用されるように適合される。加熱及び冷却要素は、シャワーヘッド電極24から空間的に隔てられ、これらの機能とシャワーヘッド電極24とが物理的に接触しないようにしている。シャワーヘッド電極アセンブリ20は、シャワーヘッド電極24と物理的に接触する熱制御デバイス(例えば、抵抗加熱装置又は高温冷却装置)を備えない。加熱及び冷却要素をシャワーヘッド電極アセンブリ20内のシャワーヘッド電極24から空間的に隔てることで、加熱及び冷却要素とシャワーヘッド電極24との間の、滑り接触などの物理的接触により粒子汚染が引き起こされる可能性がなくなる。
この実施形態では、熱伝達ガス供給源(heat transfer gas supply)86を備える熱伝達ガス供給部は、ガス管路88及び上部プレート21内のガス流路90を介して上部プレート21と上部電極40との間に画定される間隙76と流体連結するように設けられる。シャワーヘッド電極24は、プラズマを生成するために高い電力レベルが使用されたときにプラズマ処理中に約160℃から約170℃までの高い温度に達しうる。熱伝達ガス供給部は、熱伝達ガスを熱伝達ガス供給源86から供給して間隙76を満たすように動作可能である。熱伝達ガスは、上部プレート21の底面50、上部電極40の上面48及びシール78、80の間に画定された領域内の間隙76内に閉じ込められる。熱伝達ガスは、好ましくはヘリウムであり、ヘリウムは、熱を伝達する高い移動度を有する。熱は、底部電極42から上部電極40に、そして熱伝達ガスに伝導され、底部電極42の温度を制御する。好ましくは、熱伝達ガスは、プラズマ処理チャンバ10内でプラズマがON状態にあるときのみ間隙76内に供給され、シャワーヘッド電極24から熱を伝達してプラズマの加熱効果を相殺し、プラズマがOFF状態のときに間隙76から熱伝達ガスが排出される。
間隙76から熱伝達ガスを排出するために、熱伝達ガス供給部は、ガス流路90及びガス管路88を介して間隙76から熱伝達ガスを排出するようにガス管路88に沿って設けられた弁92及び真空ポンプ94を備える。
図1に示されているように、この実施形態では、加熱ガス供給源(heating gassupply)96を備える加熱ガス供給部は、さらに、ガス管路98及び上部プレート21内のガス流路30を介してシャワーヘッド電極24と流体連結するように設けられる。加熱ガス供給部は、シャワーヘッド電極24に供給される前に加熱ガスを所望の温度に予熱するようにガス管路98に沿って設けられているヒータ100を備える。ヒータ100は、プラズマ処理チャンバ10の真空及びRFリターン経路の外に配置される。加熱ガスは、十分に高い温度、例えば、約100℃から約500℃に予熱され、十分に高い流量、例えば、少なくとも約2000sccmで供給され、シャワーヘッド電極を所望の温度に加熱する。加熱ガスは、好ましくは、高い比熱を有し、C4F8、窒素、又は同様の物質とすることができる。加熱ガスは、加熱ガス供給源96からガス管路98及びガス流路30を介して第1プレナム56に供給され、放射状ガス流路62、64を介して第2プレナム58及び第3プレナム60に分配され、そこから底部電極42内のガス孔66、68、70に分配される。加熱ガスは、シャワーヘッド電極24を加熱してその温度を制御する効果を持つ。
好ましくは、加熱ガスは、プラズマがOFF状態のときのみシャワーヘッド電極24を加熱するように供給される。加熱ガスの供給は、生産ウエハが処理される前に停止される。加熱ガス供給部は、適宜、さらに、処理ガス供給源28からシャワーヘッド電極24に処理ガスを供給する前にガス流路30から加熱ガスを排出するようにガス管路98に沿って設けられている弁102及び真空ポンプ104を備える。他の実施形態では、プラズマ処理チャンバ10内の真空ポンプは、処理の開始前に加熱ガスを排出するように動作することが可能である。
プラズマ処理動作の前及びプラズマ処理動作中の処理ガス供給源28、加熱ガス供給源96、ヒータ100、弁102、真空ポンプ104、熱伝達ガス供給源86、弁92、及び真空ポンプ94の動作はこれらの要素に接続されている制御部によって制御され、シャワーヘッド電極24との間の処理ガス、加熱ガス、及び熱伝達ガスの供給及び除去を効率的に行うことができる。
シャワーヘッド電極アセンブリ10は、適宜、シャワーヘッド電極24の温度を監視するための温度感知機能を備えることができる。例えば、非接触フォトルミネッセンスに基づく温度フィードバックを使用することができる。このような温度感知機能は、Oリングなどの追加のシールに収納されうる。
この実施形態では、閉じ込めリングアセンブリ36は、同心円状配列で、取り付けリング106と、吊り金物110によって取り付けリング106から吊り下げられているプラズマ閉じ込めリング108とを備える。取り付けリング106及びプラズマ閉じ込めリング108は、閉じ込めリングのうち隣接するもの同士の間のガス流路のサイズの調整を行えるように垂直方向に移動可能なものとすることができる。アセンブリのプラズマ閉じ込めリングの個数は、図に示されている4つには限定されず、それとは別に、4つより少ないリング、例えば3つのリング、又は、4つより多いリング、例えば、5、6、もしくはそれ以上の個数のリングを使用できる。取り付けリング106及びプラズマ閉じ込めリング108は、好適な誘電体で構成される。絶縁体は、例えば、石英、溶融シリカ、窒化ケイ素、アルミナ、又はプラスチック材料とすることができる。
プラズマ処理チャンバ10内で使用されうる例示的なプラズマ閉じ込めリングアセンブリは、本願の出願人が所有する米国特許第5,534,751号、米国特許第5,998,932号、米国特許第6,019,060号、米国特許第6,178,919号、米国特許第6,527,911号、及び米国特許出願第2006/0207502号において開示されており、それらは参照により本明細書に組み込まれている。
図1に示されている実施形態では、シャワーヘッド電極24は、ブラケット46と底部電極42との間の接触面から脱離しうるポリマー薄片又は粒子が基板32上に載らず、基板32の領域の外部に落ちて基板32の汚染を回避できるように基板32の直径よりもかなり大きい外径を有する。好ましくは、シャワーヘッド電極24の直径は、基板32の直径を、約3インチ(約75mm)など、少なくとも約2インチ(約50mm)だけ超える。例示的な一実施形態では、シャワーヘッド電極24は、直径300mm(約12インチ)の基板を処理するために約15インチ(約380mm)の外径を有する。しかし、シャワーヘッド電極24のサイズは、他のウエハサイズ、又は非円形の形状を有する様々なサイズの基板を処理するように変えることができる。
図1に示されているように、シャワーヘッド電極アセンブリ20は、基板支持アセンブリ22の下側電極26によって供給される電力用のリターン経路を形成するように電気的に接地することができる。下側電極26は、静電クランプ力によって上面34上に基板32を固定するように動作可能な静電チャックとすることができる。一実施形態では、シャワーヘッド電極24は接地され、1つ、2つ、又はそれ以上の周波数の電力が、下側電極26に印加され、プラズマ処理チャンバ10内でプラズマを生成する。例えば、下側電極26は、2つの独立して制御されるRF電源114、116によって2MHz及び27MHzの周波数の電力を供給されうる。基板32が処理された後、下側電極26への電力の供給は、遮断され、プラズマの生成は停止される。
例示的な一実施形態では、プラズマは、第1の基板32を(例えば、エッチングによって)処理するためにプラズマ処理チャンバ10内で生成される。基板32のプラズマ処理中に、処理ガスが処理ガス供給源28からガス管路118及び上部プレート21内のガス流路30を介してシャワーヘッド電極24に供給される。弁及びポンプの設置は、プラズマがOFF状態のときにガス流路30からポンプで処理ガスを送り出すようにガス管路118に沿って行うことができる。処理ガスは、シャワーヘッド電極24内のガスマニホールドによってガス孔66、68、70に分配され、プラズマ処理チャンバ10のプラズマ閉じ込めゾーン38内に注入される。
プラズマ処理中に、熱伝達ガスは、さらに、熱伝達ガス供給部86からガス管路88及び上部プレート21内のガス流路90を介して間隙76内に供給され、これにより、シャワーヘッド電極24を冷却する。
第1の基板32が処理された後、下側電極26への電力の供給は、遮断され、プラズマの生成は停止される。処理された基板は、プラズマ処理チャンバ10から取り出される。プラズマがOFF状態のときに、ポンプ94を作動させることにより熱伝達ガスが間隙76から排出される。次いで、加熱ガスが、加熱ガス供給源96からガス管路98及び上部プレート21内のガス流路30を介してシャワーヘッド電極24に供給される。加熱ガスは、プラズマがOFF状態のときシャワーヘッド電極24を最低温度よりも高い温度に維持する効果を有する。シャワーヘッド電極24の温度は、好ましくは、生産ウエハ処理中に「第1のウエハ効果」が最低限に抑えられ、基板がより一様に処理されるように連続基板処理工程の間、ほぼ一定温度に維持され、これにより歩留まりが改善される。
次に、第2の基板32が、プラズマ処理のため基板支持アセンブリ22上に配置される。加熱ガス供給源96からの加熱ガスの供給は、基板32が処理される前に停止される。処理ガスを処理ガス供給源28からシャワーヘッド電極24に供給する前に、ガス流路30から加熱ガスを排出するために、ポンプ104が作動される。再び電力が下側電極26に供給され、プラズマ処理チャンバ10内でプラズマを生成する。プラズマの生成が再始動された後、熱伝達ガスが再び熱伝達ガス供給源86から間隙76に供給される。
本発明は、特定の実施形態に関して詳述されているが、添付の特許請求の範囲から逸脱することなく、様々な変更及び修正を加え、また均等物を使用できることは当業者には明らかであろう。
適用例1:半導体材料処理装置用のシャワーヘッド電極であって、上面と、前記上面及び底面に設置されたガス注入口と、前記ガス注入口と流体連結する少なくとも1つのプレナムを備える前記底面と、を備える半導体材料の上部電極と、前記上部電極の前記底面に結合された上面と、プラズマに曝される底面と、前記プレナムと流体連結する複数のガス孔と、を備える半導体材料の底部電極と、を備える、ことを特徴とするシャワーヘッド電極。
適用例2:前記上部電極及び前記底部電極は、単結晶シリコン、多結晶シリコン、SiC又はSiNを含む、ことを特徴とする適用例1に記載のシャワーヘッド電極。
適用例3:前記上部電極及び前記底部電極はそれぞれ単結晶シリコンを含み、前記底部電極の前記上面は、前記上部電極の前記底面に拡散接合され、シリコン又は酸化ケイ素のみが、前記上部電極の前記底面と前記底部電極の前記上面との間にある、ことを特徴とする適用例1に記載のシャワーヘッド電極。
適用例4:前記上部電極の前記底面は、第1プレナムと、前記第1プレナムから半径方向外側に間隔をあけて設置され、放射状の第1ガス流路を介して前記第1プレナムと流体連結する第2プレナムと、を少なくとも含む複数のプレナムを備え、前記底部電極は、前記第1プレナムと流体連結する第1ガス孔と前記第2プレナムと流体連結する第2ガス孔とを含む、ことを特徴とする適用例1に記載のシャワーヘッド電極。
適用例5:前記第2プレナムから半径方向外側に間隔をあけて設置され、放射状の第2ガス流路を介して前記第2プレナムと流体連結し、かつ、前記第1プレナムとも流体連結する第3プレナムと、前記第3プレナムと流体連結する、前記底部電極内の第3ガス孔と、をさらに備え、前記第2プレナム及び前記第3プレナムは、前記上部電極の前記底面内の環状流路である、ことを特徴とする適用例4に記載のシャワーヘッド電極。
適用例6:半導体材料処理装置用のシャワーヘッド電極アセンブリであって、底面と、処理ガス供給部及び加熱ガス供給部と流体連結するように構成された第1ガス流路と、熱伝達ガス供給部と流体連結するように構成された第2ガス流路と、を含む上部プレートと、前記上部プレートから弾力的に吊り下げられている半導体材料のシャワーヘッド電極と、を備え、前記シャワーヘッド電極は、前記第2流路と流体連結するが前記第1流路とは流体連結しない間隙により前記上部プレートの前記底面から間隔をあけて設置された上面と、ガス孔を含むプラズマに曝される底面と、前記第1ガス流路及び前記ガス孔と流体連結するが前記第2ガス流路とは流体連結しないガスマニホールドと、を備える、ことを特徴とするシャワーヘッド電極アセンブリ。
適用例7:前記上部プレートの前記底面と前記シャワーヘッド電極の前記上面との間に配置された少なくとも2つの半径方向に間隔をあけて設置されたシールをさらに備え、前記上部プレートの前記底面と前記シャワーヘッド電極の前記上面と前記シールとは、前記第1ガス流路及び前記ガス注入口との流れを遮断する前記間隙を画定する、ことを特徴とする適用例6に記載のシャワーヘッド電極アセンブリ。
適用例8:前記間隙は、当該間隙全体にわたって放射状に約25μmから約150μmの範囲の一定の高さを有する、ことを特徴とする適用例6に記載のシャワーヘッド電極アセンブリ。
適用例9:前記間隙内に配置された少なくとも3つのローラをさらに備え、前記ローラは、前記間隙の前記一定の高さを維持し、前記シャワーヘッドアセンブリの熱膨張時に前記上部プレートの前記底面と前記シャワーヘッド電極の前記上面との摩擦接触を最小限に抑える、ことを特徴とする適用例7に記載のシャワーヘッド電極アセンブリ。
適用例10:前記シャワーヘッド電極は、前記シャワーヘッド電極アセンブリの熱膨張及び収縮の少なくともいずれかに適応するように半径方向に弾力的な少なくとも2つの支持ブラケットによって前記上部プレートから弾力的に吊り下げられる、ことを特徴とする適用例6に記載のシャワーヘッド電極アセンブリ。
適用例11:前記支持ブラケットは、少なくとも1つのプラズマに曝される表面と、前記プラズマに曝される表面上のコーティングとを備え、前記コーティングは、耐食性、耐摩耗性かつ汚染をもたらさない材料を含む、ことを特徴とする適用例10に記載のシャワーヘッド電極アセンブリ。
適用例12:前記シャワーヘッド電極は、前記底部電極に接合された上部電極を備える、ことを特徴とする適用例6に記載のシャワーヘッド電極アセンブリ。
適用例13:前記上部電極及び前記底部電極は、互いに拡散接合され、シリコン及び随意の酸化ケイ素からなる、ことを特徴とする適用例12に記載のシャワーヘッド電極アセンブリ。
適用例14:プラズマ処理チャンバであって、適用例6に記載の前記シャワーヘッド電極アセンブリと、前記第1ガス流路と流体連結する前記処理ガス供給部と、前記第1ガス流路と流体連結し、前記第1ガス流路に加熱ガスを供給し、前記第1ガス流路から前記加熱ガスを排出するように構成されている前記加熱ガス供給部と、前記第2ガス流路と流体連結し、熱伝達ガスを前記間隙へ供給し、随意に前記熱伝達ガスを前記間隙から排出するように構成されている前記熱伝達ガス供給部と、を備える、ことを特徴とするプラズマ処理チャンバ。
適用例15:前記加熱ガス供給部は、前記第1ガス流路と流体連結する第1ガス管路と流体連結する加熱ガス供給源と、前記加熱ガスを予熱するために前記第1ガス管路に沿って設置されているヒータと、前記第1ガス管路に沿って設置され、前記第1ガス流路から前記加熱ガスを排出するように動作可能な第1ポンプと流体連結する随意の第1弁とを備え、前記熱伝達ガス供給部は、前記第2ガス流路と流体連結する第2ガス管路と流体連結する熱伝達ガス供給源と、前記第2ガス管路に沿って設置され、前記間隙から前記熱伝達ガスを排出するように動作可能な第2ポンプと流体連結する第2弁とを備える、ことを特徴とする適用例14に記載のプラズマ処理チャンバ。
適用例16:前記シャワーヘッド電極から間隔をあけて設置され、基板を支持するように構成された支持表面を含む基板支持アセンブリと、前記シャワーヘッド電極の前記底面と前記支持表面との間でプラズマ閉じ込めゾーンを画定するように設けられた閉じ込めリングアセンブリと、をさらに備える、ことを特徴とする適用例14に記載のプラズマ処理チャンバ。
適用例17:適用例6に記載の前記シャワーヘッド電極アセンブリを備えるプラズマ処理チャンバ内で半導体基板を処理する方法であって、前記加熱ガス供給部から前記第1ガス流路を介して前記シャワーヘッド電極に予熱された加熱ガスを供給して前記シャワーヘッド電極を加熱する工程と、前記加熱ガスを前記第1ガス流路に供給することを終了する工程と、前記処理ガス供給部から前記第1ガス流路を介して前記シャワーヘッド電極に前記処理ガスを供給する工程と、前記処理ガスを活性化して前記プラズマ処理チャンバ内でプラズマを生成させて、前記プラズマ処理チャンバ内の基板支持部材上に配置されている基板をプラズマ処理する工程と、前記プラズマの生成の間、前記熱伝達ガス供給部から前記第2ガス流路を介して前記間隙に前記熱伝達ガスを供給して前記シャワーヘッド電極から熱を伝達する工程と、を含むことを特徴とする方法。
適用例18:前記予熱された加熱ガスは、約100℃から約500℃までの温度を有し、前記シャワーヘッド電極は、前記基板の直径より少なくとも約50mm大きい直径を有する、ことを特徴とする適用例17に記載の方法。
適用例19:シャワーヘッド電極を含むシャワーヘッド電極アセンブリを備えるプラズマ処理チャンバ内で半導体基板を処理する方法であって、加熱ガス供給部から前記シャワーヘッド電極アセンブリ内の第1ガス流路を介して前記シャワーヘッド電極に予熱された加熱ガスを供給して前記シャワーヘッド電極を加熱する工程と、前記加熱ガスを前記シャワーヘッド電極に供給することを終了する工程と、処理ガス供給部から前記第1ガス流路を介して前記シャワーヘッド電極に処理ガスを供給する工程と、前記処理を活性化して前記プラズマ処理チャンバ内でプラズマを生成させて、前記プラズマ処理チャンバ内の基板支持部材上に配置されている基板をプラズマ処理する工程と、前記プラズマの生成の間、熱伝達ガス供給部から前記シャワーヘッド電極アセンブリ内の第2ガス流路を介して前記シャワーヘッド電極アセンブリに熱伝達ガスを供給して前記シャワーヘッド電極から熱を伝達する工程と、を含み、前記第1ガス流路は前記第2ガス流路から流れが遮断されている、ことを特徴とする方法。
適用例20:前記予熱された加熱ガスは、約100℃から約500℃までの温度を有し、前記シャワーヘッド電極は、前記基板の直径より少なくとも約50mm大きい直径を有する、ことを特徴とする適用例19に記載の方法。

Claims (13)

  1. 半導体材料処理装置用のシャワーヘッド電極アセンブリであって、
    底面と、処理ガス供給部及び加熱ガス供給部と流体連結するように構成されている第1ガス流路と、熱伝達ガス供給部と流体連結するように構成されている第2ガス流路と、を含む上部プレートと、
    前記上部プレートとは別個の半導体材料のシャワーヘッド電極と、
    を備え、
    前記シャワーヘッド電極は、前記上部プレートから弾力的に吊り下げられ、上部電極及び底部電極と、前記第2ガス流路と流体連結するが前記第1ガス流路とは流体連結しない間隙により全面が前記上部プレートの前記底面から間隔をあけて設置されている上面と、ガス孔を含むプラズマに曝される底面と、前記第1ガス流路及び前記ガス孔と流体連結するが前記第2ガス流路とは流体連結しないガスマニホールドと、を備える、シャワーヘッド電極アセンブリ。
  2. 請求項1に記載のシャワーヘッド電極アセンブリにおいて、さらに、前記上部プレートの前記底面と前記シャワーヘッド電極の前記上面との間に配置されている少なくとも2つの半径方向に間隔をあけて設置されているシールを備え、
    前記上部プレートの前記底面と前記シャワーヘッド電極の前記上面と前記シールとは、前記第1ガス流路及び前記上部電極の上面と底面とに配置されたガス注入口とは流れが分離されている前記間隙を画定する、シャワーヘッド電極アセンブリ。
  3. 請求項1に記載のシャワーヘッド電極アセンブリにおいて、前記間隙は、その全体にわたって放射状に25μmから150μmの範囲の一定の高さを有する、シャワーヘッド電極アセンブリ。
  4. 請求項に記載のシャワーヘッド電極アセンブリにおいて、さらに、前記間隙内に配置されている少なくとも3つのローラを備え、
    前記ローラは、前記間隙の前記一定の高さを維持し、前記シャワーヘッド電極アセンブリの熱膨張時に前記上部プレートの前記底面と前記シャワーヘッド電極の前記上面との摩擦接触を最小限に抑える、シャワーヘッド電極アセンブリ。
  5. 請求項1に記載のシャワーヘッド電極アセンブリにおいて、前記シャワーヘッド電極は、前記シャワーヘッド電極アセンブリの熱膨張及び収縮の少なくともいずれかに適応するように半径方向に弾力的な少なくとも2つの支持ブラケットによって前記上部プレートから弾力的に吊り下げられている、シャワーヘッド電極アセンブリ。
  6. 請求項5に記載のシャワーヘッド電極アセンブリにおいて、前記支持ブラケットは、少なくとも1つのプラズマに曝される表面と、前記プラズマに曝される表面上のコーティングとを備え、
    前記コーティングは、耐食性、耐摩耗性かつ汚染をもたらさない材料を含む、シャワーヘッド電極アセンブリ。
  7. 請求項1に記載のシャワーヘッド電極アセンブリにおいて、前記シャワーヘッド電極は、前記底部電極に接合されている上部電極を備える、シャワーヘッド電極アセンブリ。
  8. 請求項7に記載のシャワーヘッド電極アセンブリにおいて、前記上部電極及び前記底部電極は、互いに拡散接合され、シリコン及び随意の酸化ケイ素からなる、シャワーヘッド電極アセンブリ。
  9. プラズマ処理チャンバであって、
    請求項1に記載の前記シャワーヘッド電極アセンブリと、
    前記第1ガス流路と流体連結する前記処理ガス供給部と、
    前記第1ガス流路と流体連結し、前記第1ガス流路に加熱ガスを供給し、前記第1ガス流路から前記加熱ガスを排出するように構成されている前記加熱ガス供給部と、
    前記第2ガス流路と流体連結し、熱伝達ガスを前記間隙へ供給し、随意に前記熱伝達ガスを前記間隙から排出するように構成されている前記熱伝達ガス供給部と、
    を備える、プラズマ処理チャンバ。
  10. 請求項9に記載のプラズマ処理チャンバにおいて、前記加熱ガス供給部は、前記第1ガス流路と流体連結する第1ガス管路と流体連結する加熱ガス供給源と、前記加熱ガスを予熱するために前記第1ガス管路に沿って設置されているヒータと、前記第1ガス管路に沿って設置され、前記第1ガス流路から前記加熱ガスを排出するように動作可能な第1ポンプと流体連結する随意の第1弁とを備え、
    前記熱伝達ガス供給部は、前記第2ガス流路と流体連結する第2ガス管路と流体連結する熱伝達ガス供給源と、前記第2ガス管路に沿って設置され、前記間隙から前記熱伝達ガスを排出するように動作可能な第2ポンプと流体連結する第2弁とを備える、プラズマ処理チャンバ。
  11. 請求項9に記載のプラズマ処理チャンバにおいて、さらに、前記シャワーヘッド電極から間隔をあけて設置され、基板を支持するように構成されている支持表面を含む基板支持アセンブリと、
    前記シャワーヘッド電極の前記底面と前記支持表面との間でプラズマ閉じ込めゾーンを画定するように設けられた閉じ込めリングアセンブリと、
    を備える、プラズマ処理チャンバ。
  12. 請求項1に記載の前記シャワーヘッド電極アセンブリを備えるプラズマ処理チャンバ内において半導体基板を処理する方法であって、
    前記加熱ガス供給部から前記第1ガス流路を介して前記シャワーヘッド電極に対して予熱された加熱ガスを供給して前記シャワーヘッド電極を加熱し、
    前記加熱ガスを前記第1ガス流路に供給することを終了し、
    前記処理ガス供給部から前記第1ガス流路を介して前記シャワーヘッド電極に前記処理ガスを供給し、
    前記処理ガスを活性化して前記プラズマ処理チャンバ内でプラズマを生成させて、前記プラズマ処理チャンバ内の基板支持部材上に配置されている基板をプラズマ処理し、
    前記プラズマの生成の間、前記シャワーヘッド電極から熱を伝達するために、前記熱伝達ガス供給部から前記第2ガス流路を介して前記間隙に前記熱伝達ガスを供給すること、
    を備える方法。
  13. 請求項12に記載の方法において、前記予熱された加熱ガスは、100℃から500℃までの温度を有し、
    前記シャワーヘッド電極は、前記基板の直径より少なくとも50mm大きい直径を有する、方法。
JP2014202833A 2007-03-30 2014-10-01 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ Active JP5826353B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/730,298 US8069817B2 (en) 2007-03-30 2007-03-30 Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US11/730,298 2007-03-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010500976A Division JP5656626B2 (ja) 2007-03-30 2008-03-27 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ

Publications (2)

Publication Number Publication Date
JP2015029132A JP2015029132A (ja) 2015-02-12
JP5826353B2 true JP5826353B2 (ja) 2015-12-02

Family

ID=39795184

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010500976A Active JP5656626B2 (ja) 2007-03-30 2008-03-27 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ
JP2014202833A Active JP5826353B2 (ja) 2007-03-30 2014-10-01 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010500976A Active JP5656626B2 (ja) 2007-03-30 2008-03-27 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ

Country Status (6)

Country Link
US (2) US8069817B2 (ja)
JP (2) JP5656626B2 (ja)
KR (2) KR101570633B1 (ja)
CN (1) CN101663417B (ja)
TW (2) TWI503444B (ja)
WO (1) WO2008121288A1 (ja)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
CN101842877B (zh) * 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
KR101659303B1 (ko) * 2009-07-15 2016-09-23 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
DE102009037299A1 (de) * 2009-08-14 2011-08-04 Leybold Optics GmbH, 63755 Vorrichtung und Behandlungskammer zur thermischen Behandlung von Substraten
KR101118477B1 (ko) * 2009-11-26 2012-03-12 주식회사 테스 가스 분산판 및 이를 갖는 공정 챔버
TWI485799B (zh) * 2009-12-10 2015-05-21 Orbotech Lt Solar Llc 自動排序之直線型處理裝置
JP5650479B2 (ja) * 2010-09-27 2015-01-07 東京エレクトロン株式会社 電極及びプラズマ処理装置
US9441296B2 (en) 2011-03-04 2016-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
KR101503512B1 (ko) 2011-12-23 2015-03-18 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
US20140060435A1 (en) * 2012-09-04 2014-03-06 Applied Materials, Inc. Doors for high volume, low cost system for epitaxial silicon deposition
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US8883029B2 (en) 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
SG11201608640QA (en) 2014-05-16 2016-11-29 Applied Materials Inc Showerhead design
DE102015110440A1 (de) * 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
KR101698433B1 (ko) * 2015-04-30 2017-01-20 주식회사 에이씨엔 기상식각 및 세정을 위한 플라즈마 장치
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN106637132B (zh) * 2015-10-29 2020-01-10 沈阳拓荆科技有限公司 循环媒介自动控温、热传导气体传导温度的晶圆反应台
CN106711004B (zh) * 2015-11-13 2018-08-24 北京北方华创微电子装备有限公司 进气机构和等离子刻蚀机
CN106898534B (zh) * 2015-12-21 2019-08-06 中微半导体设备(上海)股份有限公司 等离子体约束环、等离子体处理装置与基片处理方法
WO2017213193A1 (ja) * 2016-06-10 2017-12-14 東京エレクトロン株式会社 銅層をエッチングする方法
JP6146840B1 (ja) 2016-08-04 2017-06-14 日本新工芯技株式会社 電極板
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
DE102017100192A1 (de) * 2017-01-06 2018-07-12 Cinogy Gmbh Permanente Wundauflage mit Plasmaelektrode
WO2018187679A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Plasma density control on substrate edge
CN108962713B (zh) * 2017-05-25 2020-10-16 北京北方华创微电子装备有限公司 一种工艺腔室及半导体处理设备
KR102376255B1 (ko) * 2017-07-05 2022-03-17 가부시키가이샤 아루박 플라스마 처리방법 및 플라즈마 처리장치
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
KR102670124B1 (ko) * 2018-05-03 2024-05-28 주성엔지니어링(주) 기판 처리 장치
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
CN110660707B (zh) * 2018-06-29 2022-06-14 台湾积体电路制造股份有限公司 电浆产生系统及温度调节方法
WO2021061461A1 (en) * 2019-09-23 2021-04-01 Lam Research Corporation Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US11694908B2 (en) * 2020-10-22 2023-07-04 Applied Materials, Inc. Gasbox for semiconductor processing chamber
JP7114763B1 (ja) 2021-02-15 2022-08-08 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
JP2024006589A (ja) * 2022-07-04 2024-01-17 三菱マテリアル株式会社 プラズマ処理装置用の電極板と電極構造

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3818584A (en) * 1967-09-06 1974-06-25 Tokyo Shibaura Electric Co Method for manufacturing a semiconductor apparatus
JPS5946088B2 (ja) * 1980-08-20 1984-11-10 株式会社日立国際電気 気相反応装置
JPS60128653A (ja) * 1983-12-16 1985-07-09 Hitachi Ltd 半導体集積回路装置
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5302964A (en) * 1992-09-25 1994-04-12 Hughes Aircraft Company Heads-up display (HUD) incorporating cathode-ray tube image generator with digital look-up table for distortion correction
EP0632144B1 (en) 1993-06-30 1999-09-08 Applied Materials, Inc. Method of purging and pumping vacuum chamber to ultra-high vacuum
US5569358A (en) * 1994-06-01 1996-10-29 James River Corporation Of Virginia Imprinting felt and method of using the same
JPH07335635A (ja) * 1994-06-10 1995-12-22 Souzou Kagaku:Kk 平行平板形ドライエッチング装置
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH0967685A (ja) * 1995-08-25 1997-03-11 Souzou Kagaku:Kk プラズマエッチング用平行平板電極
US6153013A (en) * 1996-02-16 2000-11-28 Canon Kabushiki Kaisha Deposited-film-forming apparatus
US5754391A (en) * 1996-05-17 1998-05-19 Saphikon Inc. Electrostatic chuck
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
WO1998046808A1 (fr) * 1997-04-11 1998-10-22 Tokyo Electron Limited Processeur
US5910221A (en) * 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
KR20000028097A (ko) 1998-10-30 2000-05-25 김영환 반도체 증착장비용 샤워헤드
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
JP2001068538A (ja) * 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
WO2001052302A1 (en) * 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
EP1252363B1 (de) * 2000-02-04 2003-09-10 Aixtron AG Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
CN1327493C (zh) * 2000-05-17 2007-07-18 东京毅力科创株式会社 处理装置部件的装配机构及其装配方法
JP4717179B2 (ja) * 2000-06-21 2011-07-06 日本電気株式会社 ガス供給装置及び処理装置
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
TW200733203A (en) * 2000-09-08 2007-09-01 Tokyo Electron Ltd Shower head structure and cleaning method thereof
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6991999B2 (en) * 2001-09-07 2006-01-31 Applied Materials, Inc. Bi-layer silicon film and method of fabrication
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
TWI261875B (en) * 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
JP4151308B2 (ja) * 2002-05-17 2008-09-17 東京エレクトロン株式会社 処理装置のガス導入方法
CN100442429C (zh) * 2002-05-23 2008-12-10 蓝姆研究公司 用于半导体处理等离子反应器的多部分电极以及替换多部分电极的一部分的方法
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
TWI270645B (en) * 2002-09-30 2007-01-11 Lam Res Corp Method and apparatus for processing a substrate
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US7108806B2 (en) * 2003-02-28 2006-09-19 National Starch And Chemical Investment Holding Corporation Conductive materials with electrical stability and good impact resistance for use in electronics devices
EP1560262B1 (en) * 2003-05-12 2017-08-23 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4532897B2 (ja) 2003-12-26 2010-08-25 財団法人国際科学振興財団 プラズマ処理装置、プラズマ処理方法及び製品の製造方法
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7507670B2 (en) * 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
JP2005303329A (ja) 2005-06-23 2005-10-27 Hitachi Ltd プラズマエッチング装置
JP4508054B2 (ja) * 2005-09-12 2010-07-21 パナソニック株式会社 電極部材の製造方法
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US7619179B2 (en) * 2006-01-20 2009-11-17 Tokyo Electron Limited Electrode for generating plasma and plasma processing apparatus using same
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR101519684B1 (ko) * 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
CN101842877B (zh) * 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus

Also Published As

Publication number Publication date
US20120045902A1 (en) 2012-02-23
JP5656626B2 (ja) 2015-01-21
KR20140146212A (ko) 2014-12-24
CN101663417B (zh) 2013-12-11
JP2015029132A (ja) 2015-02-12
TW201414870A (zh) 2014-04-16
JP2010524205A (ja) 2010-07-15
KR101512524B1 (ko) 2015-04-15
WO2008121288A1 (en) 2008-10-09
KR101570633B1 (ko) 2015-11-20
US20080242085A1 (en) 2008-10-02
TWI503444B (zh) 2015-10-11
KR20100016083A (ko) 2010-02-12
US8069817B2 (en) 2011-12-06
US8443756B2 (en) 2013-05-21
CN101663417A (zh) 2010-03-03
TW200902751A (en) 2009-01-16
TWI512135B (zh) 2015-12-11

Similar Documents

Publication Publication Date Title
JP5826353B2 (ja) 半導体材料処理装置用の低粒子性能を有するシャワーヘッド電極及びシャワーヘッド電極アセンブリ
TWI686503B (zh) 具有減少的背側電漿點火的噴淋頭
US7645341B2 (en) Showerhead electrode assembly for plasma processing apparatuses
TWI708315B (zh) 高溫基板底座模組及其元件
US8883029B2 (en) Method of making a gas distribution member for a plasma processing chamber
US20040187787A1 (en) Substrate support having temperature controlled substrate support surface
TWI801413B (zh) 具有加熱的噴淋頭組件之基板處理腔室
JP2010524205A5 (ja)
JP2023055713A (ja) 粒子発生を低減するためのガスディフューザー取付板
US11031273B2 (en) Physical vapor deposition (PVD) electrostatic chuck with improved thermal coupling for temperature sensitive processes
KR20090019788A (ko) 가열장치

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150716

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150819

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150915

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151013

R150 Certificate of patent or registration of utility model

Ref document number: 5826353

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250