KR101512524B1 - 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리 - Google Patents

반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리 Download PDF

Info

Publication number
KR101512524B1
KR101512524B1 KR1020097022752A KR20097022752A KR101512524B1 KR 101512524 B1 KR101512524 B1 KR 101512524B1 KR 1020097022752 A KR1020097022752 A KR 1020097022752A KR 20097022752 A KR20097022752 A KR 20097022752A KR 101512524 B1 KR101512524 B1 KR 101512524B1
Authority
KR
South Korea
Prior art keywords
gas
showerhead electrode
plenum
electrode
fluid communication
Prior art date
Application number
KR1020097022752A
Other languages
English (en)
Other versions
KR20100016083A (ko
Inventor
안드레아스 피셔
라진더 딘드사
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100016083A publication Critical patent/KR20100016083A/ko
Application granted granted Critical
Publication of KR101512524B1 publication Critical patent/KR101512524B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

반도체 재료 프로세싱 장치용 샤워헤드 전극이 개시된다. 샤워헤드 전극의 일 실시형태는 서로 접합된 상부 전극 및 저부 전극을 포함한다. 상부 전극은 하나 이상의 플레넘을 포함한다. 저부 전극은 플레넘과 유체 소통하는 복수의 가스 홀 및 플라즈마 노출된 저부면을 포함한다. 상판에 플렉서블하게 매달린 샤워헤드 전극을 포함하는 샤워헤드 전극 어셈블리가 또한 개시된다. 샤워헤드 전극 어셈블리는 샤워헤드 전극으로부터 공간적으로 분리된 온도 제어 엘리먼트와 유체 소통되어 샤워헤드 전극 온도를 제어할 수 있다. 샤워헤드 전극 어셈블리는 포함하는 플라즈마 프로세싱 챔버 내에서 기판을 프로세싱하는 방법이 또한 개시된다.
Figure R1020097022752
샤워헤드 전극, 반도체 재료, 플레넘, 가스 홀

Description

반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리{SHOWERHEAD ELECTRODES AND SHOWERHEAD ELECTRODE ASSEMBLIES HAVING LOW-PARTICLE PERFORMANCE FOR SEMICONDUCTOR MATERIAL PROCESSING APPARATUSES}
기술 배경
반도체 재료 프로세싱 분야에서, 진공 프로세싱 챔버를 포함하는 반도체 재료 프로세싱 장치는 예를 들어, 기판 상의 각종 재료의 에칭 및 화학적 기상 증착 (CVD) 에, 그리고 레지스트 박리에 이용된다. 이들 프로세스들 중 몇몇은 이러한 프로세싱 챔버 내의 부식성 및 침식성의 프로세스 가스, 및 플라즈마를 이용한다. 챔버 내에서 프로세싱된 기판의 입자 및/또는 금속 오염을 최소화하는 것이 바람직하다. 따라서, 이러한 장치들의 프로세스 노출된 컴포넌트들은 저-입자 성능을 갖는 것이 바람직하다.
개요
반도체 재료 프로세싱 장치용 샤워헤드 전극의 일 예시적인 실시형태는, 상부면, 상부면에 있는 가스 유입구, 및 가스 유입구와 유체 소통하는 적어도 하나의 플레넘 (plenum) 을 포함하는 저부면을 포함하는 반도체 재료의 상부 전극; 및 상부 전극의 저부면에 접합된 상부면, 플라즈마 노출된 저부면, 및 플레넘과 유체 소통하며 이것을 통하여 연장된 복수의 가스 홀들을 포함하는 반도체 재료의 저부 전극을 포함한다.
반도체 재료 프로세싱 장치용 샤워헤드 전극 어셈블리의 일 예시적인 실시형태는, 저부면, 프로세스 가스 공급부 및 가열 가스 공급부와 유체 소통하도록 구성된 제 1 가스 통로, 및 전열 (heat transfer) 가스 공급부와 유체 소통하도록 구성된 제 2 가스 통로를 포함하는 상판; 및 상판으로부터 플렉서블하게 매달린 샤워헤드 전극으로서, 제 2 가스 통로와 유체 소통하지만 제 1 가스 통로와 유체 소통하지 않는 갭에 의해 상판의 저부면으로부터 이격된 상부면, 가스 홀들을 포함하는 플라즈마 노출된 저부면, 및 가스 홀들 및 제 1 가스 통로와 유체 소통하지만 제 2 가스 통로와 유체 소통하지 않는 가스 매니폴드 (manifold) 를 포함하는, 상기 샤워헤드 전극을 포함한다.
샤워헤드 전극을 포함하는 샤워헤드 전극 어셈블리를 포함하는 플라즈마 프로세싱 챔버 내에서 반도체 기판을 프로세싱하는 방법의 일 예시적인 실시형태는, 예열된 가열 가스를 가열 가스 공급부로부터 샤워헤드 전극 어셈블리 내의 제 1 가스 통로를 통해 샤워헤드 전극으로 공급하여 샤워헤드 전극을 가열하는 단계; 샤워헤드 전극으로의 가열 가스의 공급을 종료하는 단계; 프로세스 가스를 프로세스 가스 공급부로부터 제 1 가스 통로를 통해 샤워헤드 전극으로 공급하는 단계; 프로세스 가스를 에너자이징하여 플라즈마 프로세싱 챔버 내에서 플라즈마를 생성하여, 플라즈마 프로세싱 챔버 내의 기판 지지체 상에 배치된 기판을 플라즈마 프로세싱하는 단계; 및 전열 가스를 전열 가스 공급부로부터 샤워헤드 전극 어셈블리 내의 제 2 가스 통로를 통해 샤워헤드 전극 어셈블리로 공급하여, 플라즈마의 생성 동안 샤워헤드 전극으로부터의 열을 전달하는 단계를 포함하고, 제 1 가스 통로는 제 2 가스 통로로부터 흐름이 차단된다 (flow isolated).
도면
도 1 은 플라즈마 프로세싱 챔버의 예시적인 실시형태를 나타낸다.
도 2 는 도 1 에 도시된 샤워헤드 전극 어셈블리의 상부 전극의 저면도이다.
도 3 은 도 1 에 도시된 샤워헤드 전극 어셈블리의 저부 전극의 저면도이다.
상세한 설명
반도체 재료 플라즈마 프로세싱 장치용 샤워헤드 전극 및 샤워헤드 전극 어셈블리, 및 샤워헤드 전극 어셈블리의 실시형태들을 포함하는 플라즈마 프로세싱 챔버에서 반도체 재료를 프로세싱하는 방법이 설명된다. 샤워헤드 전극 어셈블리는 저-입자 성능 (low-particle performance) 에 전극 온도 제어를 제공한다. 샤워헤드 전극 어셈블리는 모듈 설계를 갖는다. 샤워헤드 전극 어셈블리의 몇몇 실시형태는 멀티-구역 (multi-zone) 가스 주입 능력을 갖는다.
도 1 은, 예를 들어 200 mm 또는 300 mm 직경을 갖는 반도체 웨이퍼와 같은 반도체 재료 기판을 프로세싱하기 위한 플라즈마 프로세싱 장치의 용량성 커플링된 플라즈마 프로세싱 챔버 (10) 의 예시적인 실시형태를 도시한다. 도시된 바와 같이, 샤워헤드 전극 어셈블리 (20) 는 기판 지지 어셈블리 (22) 위에 배열된다. 샤워헤드 전극 어셈블리 (20) 는 상판 (21) 및 샤워헤드 전극 (24) 을 포함하고, 기판 지지 어셈블리 (22) 는 하부 전극 (26) 을 포함한다. 플라즈마 프로세싱 챔버 (10) 는 상판 (21) 내의 가스 통로 (30) 를 통해 샤워헤드 전극 (24) 에 프로세스 가스를 공급하도록 구성된 프로세스 가스 공급기 (28) 를 갖는 프로세스 가스 공급부를 포함한다. 플라즈마 프로세싱 동안, 하부 전극 (26) 에 전력이 공급되어 플라즈마 프로세싱 챔버 (10) 내로 도입되는 프로세스 가스를 활성화하며, 또한 플라즈마를 생성하여 기판 지지 어셈블리 (22) 의 면 (34) 상에 지지된 기판 (32) 을 프로세싱한다. 도시된 바와 같이, 플라즈마 프로세싱 챔버의 실시형태는 샤워헤드 전극 (24) 과 기판 지지 어셈블리 (22) 사이에 정의된 플라즈마 한정 구역 (38) 내에 플라즈마를 한정하도록 구성된 플라즈마 한정 링 어셈블리 (36) 를 포함한다.
실시형태에서, 샤워헤드 전극 (24) 은 저부 전극 (42) 에 부착된 상부 전극 (40) 을 포함한다. 상부 전극 (40) 및 저부 전극 (42) 은 통상적으로 원형판이다. 샤워헤드 전극 (24) 은, 상부 전극 (40) 의 상부면 (48) 이 상판 (21) 의 저부면 (50) 으로부터 이격되도록 하여 브래킷 (46) 에 의해 상판 (21) 으로부터 매달린다. 브래킷 (46) 은 상판 (21) 및 샤워헤드 전극 (24) 에 단단히 고정될 수 있다. 다른 실시형태에서, 브래킷 (46) 은 상판 (21) 에 단단히 고정될 수 있지만 샤워헤드 전극 (24) 에는 부착되지 않아서, 샤워헤드 전극 (24) 은 브래킷 (46) 상에 얹혀 있다.
본 실시형태에서, 상부 전극 (40) 은 샤워헤드 전극 (24) 내에 형성된 가스 매니폴드와 유체 소통하는 하나의 가스 유입구 (52) 를 포함한다. 가스 매니폴드는 상부 전극 (40) 의 저부면 (54) 내에 형성된 적어도 하나의 플레넘을 포함한다. 하나 보다 많은 플레넘을 포함하는 실시형태에서, 플레넘들은 서로 유체 소통된다. 본 실시형태에서, 가스 매니폴드는 3 개의 플레넘; 즉, 바람직하게 저부면 (54) 상의 중심부에 위치한 제 1 플레넘 (56), 및 제 1 플레넘 (56) 으로부터 방사상으로 이격된 제 2 플레넘 (58) 및 제 3 플레넘 (60) 을 포함한다. 다른 실시형태에서, 상부 전극 (40) 은 단지 하나의 플레넘, 또는 대안으로 제 1 플레넘 (56) 을 둘러싸는 2 개보다 많은 플레넘을 포함할 수 있다. 도 2 에 도시된 바와 같이, 제 1 플레넘 (56) 은 원형 형상을 갖고, 제 2 플레넘 (58) 및 제 3 플레넘 (60) 은 동심형 환상 채널이다. 상부 전극 (40) 은 원주 방향으로 이격된 (circumferentially-spaced) 방사형 가스 통로들 (62) 을 포함하여 제 1 플레넘 (56) 과 제 2 플레넘 (58) 사이에 유체 소통을 제공하고, 원주 방향으로 이격된 방사형 가스 통로들 (64) 을 포함하여 제 2 플레넘 (58) 과 제 3 플레넘 (60) 사이에 유체 소통을 제공한다. 본 실시형태에서, 방사형 가스 통로들 (62, 64) 은 정렬된다. 가스 유입구 (52), 플레넘들 (56, 58, 60) 및 방사형 가스 통로들 (62, 64) 은 상부 전극 (40) 내에 가공 (machining) 될 수 있다.
제 1 플레넘 (56), 제 2 플레넘 (58) 및 제 3 플레넘 (60) 은 원하는 가스 압력 상태를 달성하기 위해 적절한 볼륨을 갖는다. 예를 들어, 제 2 플레넘 (58) 은 제 1 플레넘 (56) 의 볼륨 보다 큰 볼륨을 가질 수 있고, 제 3 플레넘 (60) 은 제 2 플레넘 (58) 의 볼륨 보다 큰 볼륨을 가질 수 있다. 상부 전극 (40) 및 저부 전극 (42) 은 거의 동일한 두께 또는 상이한 두께를 가질 수 있다.
가스 매니폴드는 저부 전극 (42) 내의 가스 홀로 가스를 동시에 공급하도록 구성된다. 도 1 및 도 3 에 도시된 바와 같이, 저부 전극 (42) 은 그것을 통하여 연장되고 동심원으로 배열된 가스 홀들 (66, 68, 70) 을 포함하며, 가스 홀들 (66, 68, 70) 의 각 그룹은 복수의 원주 방향으로 이격된 가스 홀들을 포함한다. 가스 홀들 (66) 은 제 1 플레넘 (56) 과 유체 소통되고, 2 개 그룹의 가스 홀들 (68) 은 제 2 플레넘 (58) 과 유체 소통되며, 2 개 그룹의 가스 홀들 (70) 은 제 3 플레넘 (60) 과 유체 소통된다. 다른 실시형태에서, 저부 전극 (42) 은 각각의 제 2 플레넘 (58) 및/또는 제 3 플레넘 (60) 과 유체 소통하는 1 개 그룹, 또는 3 개 이상의 그룹의 가스 홀들 (68, 70) 을 포함할 수 있다. 본 실시형태에서, 플레넘들 (56, 58, 60) 은 가스 흐름 구역을 제공한다. 다른 실시형태에서, 샤워헤드 전극 어셈블리 (20) 는 둘 이상의 가스 흐름 구역, 예를 들어 적어도, 내측 가스 흐름 구역 및 내측 가스 흐름 구역을 둘러싸는 외측 가스 흐름 구역을 포함할 수 있다. 예를 들어, 내측 가스 흐름 구역은 샤워헤드 전극의 특정 반경에 한정될 수 있고, 외측 가스 흐름 구역은 샤워헤드 전극의 인접한 반경 부분에 대해 내측 가스 흐름 구역을 반복할 수 있다. 다수의 가스 흐름 구역들은 기판 (32) 의 중심 부분과 에지 (또는 중간-범위) 부분 사이의 독립적인 가스 흐름 제어를 허용한다.
상부 전극 (40) 및 저부 전극 (42) 은 단결정 실리콘, 다결정 실리콘, SiC, SiN 등과 같은 임의의 적절한 반도체 재료로 구성될 수 있다. 상부 전극 (40) 및 저부 전극은 상이한 재료들로 구성될 수 있다. 고-순도, 단결정 실리콘은 플라즈마 프로세싱 동안 기판의 오염을 최소화하고, 또한 매끄럽게 마모되어 (wears smoothly), 이에 의해 입자를 최소화한다. 바람직하게, 상부 전극 (40) 및 저부 전극 (42) 은 단결정 실리콘으로 구성되고 서로 확산 접합된다 (diffusion bonded). 바람직하게, 상부 전극 (40) 과 저부 전극 (42) 사이에는 실리콘 또는 실리콘 산화물 외에 다른 이질의 접합 재료가 사용되지 않는다. 따라서, 확산 접합된 샤워헤드 전극은 이러한 다른 이질의 접합 재료를 이용하는 것과 비교할 때 감소된 웨이퍼 오염에 대하여 이점을 제공한다.
본 실시형태에서, 상판 (21) 은 바람직하게 그 온도를 제어하도록 액체 냉각된다. 예를 들어, 상판 (21) 은 도 1 에 도시된 바와 같이 액체 통로 (73) 를 통해 온도-제어형 액체 공급기 (72) 와 유체 소통하는 하나 이상의 액체 통로를 포함할 수 있다. 냉각액, 예를 들어 물은, 통상적으로 약 17 ℃ 내지 약 20 ℃ 의 온도를 가질 수 있다. 상판 (21) 은 바람직하게 빌트-인 (built-in) 히터를 포함하지 않는다.
도 1 에 도시된 바와 같이, 갭 (76, 즉 개방 공간) 이 상판 (21) 의 저부면 (50) 과 상부 전극 (40) 의 상부면 (48) 사이에 정의된다. 샤워헤드 전극 (24) 에 도달하는 플라즈마로부터의 RF 에너지는 RF 접지를 나타내는 상판 (21) 에 대한 갭 (76) 을 거쳐 샤워헤드 전극 (24) 에 용량성 커플링될 수 있다. O-링 등과 같은 시일 (seal; 78, 80) 이 저부면 (50) 과 상부면 (48) 사이에 위치하여 기밀 (pressure-tight) 가스 시일을 형성한다. 시일 (78) 은 프로세스 가스 유입구 (52) 로부터 갭 (76) 을 고립시키고, 시일 (80) 은 플라즈마 프로세싱 챔버 (10) 의 외측 부분으로부터 갭 (76) 을 고립시킨다. 추가의 시일이 상판 (21) 과 상부 전극 (40) 사이에 제공되어 샤워헤드 전극 (24) 의 다중-프로세스 가스 구역 주입, 또는 다중-구역 온도 제어를 허용한다. 하나의 추가 시일이 각 프로세스 가스 구역에 추가될 수 있다.
샤워헤드 전극 어셈블리 (20) 는 상판 (21) 의 저부면 (50) 과 상부 전극 (40) 의 상부면 (48) 사이에 위치한 롤러 (82) 를 포함한다. 롤러 (82) 는 갭 (76) 의 미리 설정된 높이를 유지하고, 또한 샤워헤드 전극 어셈블리 (20) 의 열 팽창을 수용하도록 제공된다. 롤러 (82) 는 상판 (21) 의 저부면 (50) 내에 형성된 오목부 (recess) 내에 설치된다. 예를 들어, 각 오목부 내에 수용된 3 개 이상의 롤러 (82) 가 원형으로 배열될 수 있다. 롤러 (82) 는 바람직하게 구형이며, 이는 샤워헤드 전극 어셈블리 (20) 의 열 팽창 동안 상판 (21) 및 상부 전극 (40) 과의 마찰 접촉을 최소화하기 위해 회전할 수 있다.
롤러 (82) 는 스테인리스 스틸과 같은 금속, 세라믹, 및 폴리테트라플루오로에틸렌과 같은 폴리머를 포함하는 임의의 적절한 재료를 포함할 수 있다. 금속 롤러 (82) 를 포함하는 실시형태에서, 상판 (21) 과 금속 롤러들 사이의 전기적 접촉을 방지하기 위해 오목부 내에 전기 절연체를 제공하는 것이 몇몇 애플리케이션에서 바람직할 수도 있다.
상판 (21) 의 저부면 (50) 과 상부 전극 (40) 의 상부면 (48) 사이의 갭 (76) 은 바람직하게 약 25 ㎛ 내지 약 150 ㎛ 의 높이, 이를 테면 약 50 ㎛ 내지 약 100 ㎛ 의 높이를 갖는다. 저부면 (50) 및 상부면 (48) 은 바람직하게 매우 매끄럽고 평면적이어서, 전체 갭에 걸쳐 방사상으로 갭 (76) 의 일정한 높이를 유지한다.
본 실시형태에서, 샤워헤드 전극 (24) 은 브래킷 (bracket; 46) 에 의해 상판 (21) 으로부터 플렉서블하게 (flexibly) 매달린다. 도 1 에 도시된 예시의 L-형상 지지 브래킷 (46) 은 저부 (bottom portion; 84) 를 포함하는데, 저부 상에는 샤워헤드 전극 (24) 의 저부 전극 (42) 이 얹혀 있다. 바람직하게, 지지 브래킷 (46) 의 저부 (84) 만이 샤워헤드 전극 (24) 과 접촉한다. 지지 브래킷 (46) 은, 지지 브래킷 (46) 과 상판 (21) 사이의 최소의 마찰 작용 (rubbing action) 으로 샤워헤드 전극 어셈블리 (20) 의 열팽창 및/또는 수축을 수용하기에 충분히 얇고 플렉서블한 재료를 포함한다. 이러한 열팽창 및/또는 수축 동안, 브래킷 (46) 은 측방으로 (즉, 방사상으로) 플렉싱 (flexing) 될 수 있다. 예를 들어, 지지 브래킷 (46) 은 상판 (21) 과 샤워헤드 전극 (24) 사이에 DC 전도 (conduction) 를 제공할 수 있는 금속 재료를 포함할 수 있다. 브래킷에 의한 챔버 오염의 확률을 최소화하기 위해, 브래킷의 임의의 플라즈마-노출된 면(들)은 석영, 산화이트륨, 실리콘, 탄화 규소, 알루미나, 또는 폴리머 재료와 같은 내부식성 및 내마모성, 및 오염-중립 (contamination-neutral) 인 재료로 코팅될 수 있다.
본 실시형태에서, (예를 들어, 장비 휴지 기간 및 웨이퍼 이송 기간 동안) 플라즈마가 오프되는 경우 및 생산 웨이퍼 프로세싱 동안 플라즈마가 온되는 경우, 샤워헤드 전극 어셈블리 (20) 는 샤워헤드 전극 (24) 의 온도를 제어하기 위해 샤워헤드 전극 (24) 을 가열 또는 냉각하는 엘리먼트와 조합되어 이용되도록 구성된다. 가열 및 냉각 엘리먼트는 샤워헤드 전극 (24) 으로부터 공간적으로 분리되어 샤워헤드 전극 (24) 과 이들 피처 (feature) 의 물리적 접촉을 제거한다. 이 샤워헤드 전극 어셈블리 (20) 는 샤워헤드 전극 (24) 과 물리적으로 접촉하는 열 제어 디바이스 (예를 들어, 저항성 히터 또는 고 온 칠러 (chiller)) 를 포함하지 않는다. 샤워헤드 전극 어셈블리 (20) 내의 샤워헤드 전극 (24) 으로부터 가열 및 냉각 엘리먼트를 공간적으로 분리함으로써, 가열 및 냉각 엘리먼트와 샤워헤드 전극 (24) 사이의 슬라이딩 접촉과 같은 물리적 접촉에 의해 야기되는 입자 오염의 가능성이 제거된다.
본 실시형태에서, 전열 가스 (heat transfer gas) 공급기 (86) 를 포함하는 전열 가스 공급부는 상판 (21) 내의 가스 통로 (90) 및 가스 라인 (88) 을 통해 상판 (21) 과 상부 전극 (40) 사이에 정의된 갭 (76) 과 유체 소통하도록 배열된다. 플라즈마를 생성하기 위해 고 전력 레벨이 이용되는 경우, 샤워헤드 전극 (24) 은 플라즈마 프로세싱 동안 약 160 ℃ 내지 약 170 ℃ 와 같은 고온에 이를 수 있다. 전열 가스 공급부는 전열 가스 공급기 (86) 로부터 전열 가스를 공급하여 갭 (76) 을 충전 (充塡) 하도록 동작 가능하다. 전열 가스는 상판 (21) 의 저부면 (50), 상부 전극 (40) 의 상부면 (48) 및 시일들 (78, 80) 사이에 정의된 영역의 갭 (76) 내에 한정된다. 바람직하게, 전열 가스는 열을 전달하는 높은 이동도 (high mobility) 를 갖는 헬륨이다. 열은 저부 전극 (42) 으로부터 상부 전극 (40) 으로, 그리고 전열 가스로 전도되어, 저부 전극 (42) 의 온도를 제어한다. 바람직하게, 플라즈마 프로세싱 챔버 (10) 에서 플라즈마가 온될 때에만 갭 (76) 안으로 전열 가스가 공급되어 샤워헤드 전극 (24) 으로부터 열을 전달하여 플라즈마의 가열 효과를 오프셋하고, 플라즈마가 오프될 때에 갭 (76) 으로부터 전열 가스가 배기된다.
갭 (76) 으로부터 전열 가스를 배기하기 위해, 전열 가스 공급부는 가스 라인 (88) 을 따라 배열된 밸브 (92) 및 진공 펌프 (94) 를 포함하여, 가스 통로 (90) 및 가스 라인 (88) 을 통해 갭 (76) 으로부터 전열 가스를 배기한다.
도 1 에 도시된 바와 같이, 본 실시형태에서, 가열 가스 공급기 (96) 를 포함하는 가열 가스 공급부는 또한 상판 (21) 내의 가스 통로 (30) 및 가스 라인 (98) 을 통해 샤워헤드 전극 (24) 과 유체 소통하도록 배열된다. 가열 가스 공급부는 가스 라인 (98) 을 따라 배열된 히터 (100) 를 포함하여, 샤워헤드 전극 (24) 에 공급되기 전에 원하는 온도로 가열 가스를 예열한다. 히터 (100) 는 플라즈마 프로세싱 챔버 (10) 의 RF 리턴 경로 및 진공 밖에 위치한다. 가열 가스는 충분히 고온, 예를 들어 약 100 ℃ 내지 약 500 ℃ 로 예열되고, 충분히 고 유량, 예를 들어 적어도 약 2000 sccm 으로 공급되어, 샤워헤드 전극을 원하는 온도로 가열한다. 바람직하게, 가열 가스는 높은 비열을 갖고 C4F8, 질소 등일 수 있다. 가열 가스는 가열 가스 공급기 (96) 로부터 가스 라인 (98) 및 가스 통로 (30) 를 통해 제 1 플레넘 (56) 으로 공급되고, 방사형 가스 통로들 (62, 64) 을 통해 제 2 플레넘 (58) 및 제 3 플레넘 (60) 으로 분배되며, 거기로부터 저부 전극 (42) 내의 가스 홀들 (66, 68, 70) 로 분배된다. 가열 가스는 샤워헤드 전극 (24) 을 가열하여 그 온도를 제어하는데 효과적이다.
바람직하게, 가열 가스는 플라즈마가 오프될 때에만 샤워헤드 전극 (24) 을 가열하기 위해 공급된다. 가열 가스의 공급은 생산 웨이퍼가 프로세싱되기 전에 종료된다. 또한, 가열 가스 공급부는 가스 라인 (98) 을 따라 배열된 밸브 (102) 및 진공 펌프 (104) 를 선택적으로 포함하여, 프로세스 가스 공급기 (28) 로부터 샤워헤드 전극 (24) 으로 프로세스 가스를 공급하기 전에 가스 통로 (30) 로부터 가열 가스를 배기한다. 다른 실시형태에서, 플라즈마 프로세싱 챔버 (10) 내의 진공 펌프는 프로세스 시작 전에 가열 가스를 배기하도록 동작될 수 있다.
플라즈마 프로세싱 동작 전, 그리고 플라즈마 프로세싱 동작 동안, 프로세스 가스 공급기 (28), 가열 가스 공급기 (96), 히터 (100), 밸브 (102), 진공 펌프 (104), 전열 가스 공급기 (86), 밸브 (92) 및 진공 펌프 (94) 의 동작은 이들 엘리먼트에 접속된 제어기에 의해 제어되어, 프로세스 가스, 가열 가스 및 전열 가스를 샤워헤드 전극 (24) 으로 효율적으로 공급하고 프로세스 가스, 가열 가스 및 전열 가스를 샤워헤드 전극 (24) 으로부터 효율적으로 제거할 수 있다.
샤워헤드 전극 어셈블리 (10) 는 샤워헤드 전극 (24) 의 온도를 모니터하도록 온도 센싱 피처를 선택적으로 포함할 수 있다. 예를 들어, 무접촉 광루미네선스에 기초한 온도 피드백이 이용될 수 있다. 이러한 온도 센싱 피처는 O-링과 같은 추가의 시일에 의해 포함될 수 있다.
본 실시형태에서, 한정 링 어셈블리 (36) 는 동심형 배열로, 마운팅 링 (106) 및 행거 (hanger; 110) 에 의해 마운팅 링 (106) 으로부터 매달린 플라즈마 한정 링 (108) 을 포함한다. 마운팅 링 (106) 및 플라즈마 한정 링 (108) 은 수직으로 이동 가능하여 한정 링들 중 인접한 링들 사이의 가스 통로의 크기를 조정할 수 있다. 어셈블리의 플라즈마 한정 링의 개수는 도시된 바와 같은 4 개의 링에 한정되지 않고; 대안으로, 4 개의 링보다 적은, 예를 들어 3 개의 링일 수 있고, 또는 4 개의 링보다 많은, 예를 들어 5 개, 6 개 또는 그 이상의 링일 수 있다. 마운팅 링 (106) 및 플라즈마 한정 링 (108) 은 적절한 유전체 재료로 이루어진다. 절연재, 예를 들어 석영, 용융 실리카 (fused silica), 실리콘 질화물, 알루미나, 또는 플라스틱재일 수 있다.
플라즈마 프로세싱 챔버 (10) 내에 이용될 수 있는 예시적인 플라즈마 한정 링 어셈블리는 공동 소유된 미국 특허 제 5,534,751; 5,998,932; 6,019,060; 6,178,919 및 6,527,911 호, 및 미국 특허출원번호 제 2006/0207502 호에 개시되며, 이들 각각은 그 전체가 본 명세서에 참조로서 통합된다.
도 1 에 도시된 실시형태에서, 샤워헤드 전극 (24) 은 기판 (32) 의 직경을 상당량만큼 초과하는 외경을 가지므로, 브래킷 (46) 과 저부 전극 (42) 사이의 접촉면으로부터 탈리될 수도 있는 폴리머 플레이크 (flake) 또는 입자들이 기판 (32) 상에 떨어지지 않고, 기판 (32) 영역의 외측에 떨어져 기판 (32) 의 오염을 회피할 것이다. 바람직하게, 샤워헤드 전극 (24) 의 직경은 적어도 약 2 인치 (약 50 mm), 이를 테면 약 3 인치 (약 75 mm) 만큼 기판 (32) 의 직경을 초과한다. 예시적인 실시형태에서, 샤워헤드 전극 (24) 은 300 mm (약 12 인치) 직경을 갖는 기판을 프로세싱하기 위해 약 15 인치 (약 380 mm) 의 외경을 갖는다. 그러나, 샤워헤드 전극 (24) 은 다른 웨이퍼 크기, 또는 비-원형 구조를 갖는 각종 크기의 기판을 프로세싱하도록 사이징될 수 있다.
도 1 에 도시된 바와 같이, 샤워헤드 전극 어셈블리 (20) 는 전기적으로 접지되어 기판 지지 어셈블리 (22) 의 하부 전극 (26) 에 의해 공급된 전력에 리턴 경로를 제공할 수 있다. 하부 전극 (26) 은 정전 클램핑 힘에 의해 상부면 (34) 상에 기판 (32) 을 고정하도록 동작 가능한 정전 척일 수 있다. 일 실시형태에서, 샤워헤드 전극 (24) 은 접지되고, 하나, 둘 또는 그 이상의 주파수에서의 전력이 하부 전극 (26) 에 인가되어 플라즈마 프로세싱 챔버 (10) 내에 플라즈마를 생성한다. 예를 들어, 하부 전극 (26) 은 2 개의 독립적으로 제어된 RF 전원들 (114, 116) 에 의해 2 MHz 및 27 MHz 의 주파수에서 전력공급될 수 있다. 기판 (32) 이 프로세싱된 후에, 하부 전극 (26) 으로의 전력 공급은 차단되어 플라즈마 생성을 종료한다.
예시적인 실시형태에서, 플라즈마는 플라즈마 프로세싱 챔버 (10) 내에서 생성되어 (예를 들어, 에칭에 의해) 제 1 기판 (32) 을 프로세싱한다. 기판 (32) 의 플라즈마 프로세싱 동안, 프로세스 가스가 프로세스 가스 공급기 (28) 로부터 상판 (21) 내의 가스 통로 (30) 및 가스 라인 (118) 을 통해 샤워헤드 전극 (24) 으로 공급된다. 플라즈마가 오프될 때, 가스 통로 (30) 로부터 프로세스 가스를 펌핑하도록 밸브 및 펌프 장치가 가스 라인 (118) 을 따라 제공될 수 있다. 프로세스 가스는 샤워헤드 전극 (24) 내의 가스 매니폴드에 의해 가스 홀들 (66, 68, 70) 로 분배되고, 플라즈마 프로세싱 챔버 (10) 의 플라즈마 한정 구역 (38) 안으로 주입된다.
플라즈마 프로세싱 동안, 전열 가스가 또한 전열 가스 공급기 (86) 로부터 상판 (21) 내의 가스 통로 (90) 및 가스 라인 (88) 을 통해 갭 (76) 안으로 공급되어, 샤워헤드 전극 (24) 을 냉각한다.
제 1 기판 (32) 이 프로세싱된 후에, 하부 전극 (26) 으로의 전력 공급이 차단되어 플라즈마 생성을 종료한다. 프로세싱된 기판은 플라즈마 프로세싱 챔버 (10) 로부터 제거된다. 플라즈마가 오프될 때, 펌프 (94) 를 작동함으로써 갭 (76) 으로부터 전열 가스가 배기된다. 그 다음에, 가열 가스 공급기 (96) 로부터 상판 (21) 내의 가스 통로 (30) 및 가스 라인 (98) 을 통해 샤워헤드 전극 (24) 으로 가열 가스가 공급된다. 가열 가스는, 플라즈마가 오프될 때 최소 온도를 상회하는 온도로 샤워헤드 전극 (24) 을 유지하기에 효과적이다. 샤워헤드 전극 (24) 온도는 바람직하게, 연속적인 기판 프로세싱 구동들 사이에서 적절히 일정한 온도로 유지되므로, "제 1 웨이퍼 효과" 는 생산 웨이퍼 프로세싱 동안 최소화될 수 있고, 기판은 더 균일하게 프로세싱되고 이에 의해 프로세스 수율을 향상시킬 수 있다.
다음으로, 제 2 기판 (32) 이 플라즈마 프로세싱을 위해 기판 지지 어셈블리 (22) 상에 배치된다. 가열 가스 공급기 (96) 로부터의 가열 가스의 공급은 기판 (32) 이 프로세싱되기 전에 정지된다. 펌프 (104) 는, 프로세스 가스 공급기 (28) 로부터 샤워헤드 전극 (24) 으로 프로세스 가스를 공급하기 전에 가스 통로 (30) 로부터 가열 가스를 배기하도록 작동된다. 하부 전극 (26) 에 전력이 다시 공급되어 플라즈마 프로세싱 챔버 (10) 내에서 플라즈마를 생성한다. 일단 플라즈마 생성이 다시 시작되면, 전열 가스가 전열 가스 공급기 (86) 로부터 갭 (76) 으로 다시 공급된다.
본 발명은 그 특정 실시형태를 참조하여 상세히 설명되었으나, 첨부된 청구범위의 범위를 벗어나지 않는 각종 변경 및 변형이 이루어질 수 있으며 등가물이 채용될 수 있다는 것이 당업자에게 명백할 것이다.

Claims (20)

  1. 반도체 재료 프로세싱 장치용 샤워헤드 전극으로서,
    상부면, 상기 상부면에 있는 가스 유입구, 및 저부면을 포함하는 반도체 재료의 상부 전극으로서, 상기 저부면은 제1 플레넘 및 제2 플레넘을 포함하고, 상기 제1 플레넘은 중앙 플레넘이고 상기 제2 플레넘은 상기 제1 플레넘을 둘러싸는 환형 플레넘이고, 상기 제1 플레넘 및 상기 제2 플레넘은 동일한 상기 가스 유입구와 유체 소통하며, 상기 제1 플레넘 및 상기 제2 플레넘에는 동일한 종류의 가스가 공급되는, 상기 상부 전극; 및
    상기 상부 전극의 상기 저부면에 접합된 상부면, 플라즈마 노출된 저부면, 및 상기 제1 플레넘 및 상기 제2 플레넘과 유체 소통하는 복수의 가스 홀들을 포함하는 반도체 재료의 저부 전극을 포함하고,
    상기 제2 플레넘은 상기 제1 플레넘과 유체 소통하는, 샤워헤드 전극.
  2. 제 1 항에 있어서,
    상기 상부 전극 및 상기 저부 전극은 단결정 실리콘, 다결정 실리콘, SiC 또는 SiN 을 포함하는, 샤워헤드 전극.
  3. 제 1 항에 있어서,
    상기 상부 전극 및 상기 저부 전극 각각은 단결정 실리콘을 포함하고, 상기 저부 전극의 상기 상부면은 상기 상부 전극의 상기 저부면에 확산 접합되며, 실리콘 또는 실리콘 산화물 만이 상기 상부 전극의 상기 저부면과 상기 저부 전극의 상기 상부면 사이에 있는, 샤워헤드 전극.
  4. 제 1 항에 있어서,
    상기 제2 플레넘은 상기 제1 플레넘으로부터 방사상 외측으로 이격되고, 복수의 방사형 가스 통로들을 통해 상기 제1 플레넘과 유체 소통하는, 샤워헤드 전극.
  5. 제 4 항에 있어서,
    상기 제 2 플레넘으로부터 방사상 외측으로 이격되고 제 2 방사형 가스 통로들을 통해 상기 제 2 플레넘과 유체 소통하며, 상기 제 1 플레넘과 유체 소통하는 제 3 플레넘; 및
    상기 제 3 플레넘과 유체 소통하는 상기 저부 전극 내의 제 3 가스 홀들을 더 포함하고,
    상기 제 2 플레넘 및 상기 제 3 플레넘은 상기 상부 전극의 상기 저부면 내의 환상 채널들인, 샤워헤드 전극.
  6. 반도체 재료 프로세싱 장치용 샤워헤드 전극 어셈블리로서,
    저부면, 프로세스 가스 공급부 및 가열 가스 공급부와 유체 소통하도록 구성된 제 1 가스 통로, 및 전열 (heat transfer) 가스 공급부와 유체 소통하도록 구성된 제 2 가스 통로를 포함하는 상판; 및
    상기 상판으로부터 플렉서블하게 매달린, 제 1 항에 기재된 상기 샤워헤드 전극으로서, 상기 제 2 가스 통로와 유체 소통하지만 상기 제 1 가스 통로와 유체 소통하지 않는 갭에 의해 상기 상판의 상기 저부면으로부터 이격된 상부면, 가스 홀들을 포함하는 플라즈마 노출된 저부면, 및 상기 가스 홀들 및 상기 제 1 가스 통로와 유체 소통하지만 상기 제 2 가스 통로와 유체 소통하지 않는 가스 매니폴드를 포함하는, 제1 항에 따른 상기 샤워헤드 전극을 포함하는, 샤워헤드 전극 어셈블리.
  7. 제 6 항에 있어서,
    상기 상판의 상기 저부면과 상기 샤워헤드 전극의 상기 상부면 사이에 위치한 적어도 2 개의 방사상으로 이격된 시일 (seal) 들을 더 포함하며,
    상기 상판의 상기 저부면, 상기 샤워헤드 전극의 상기 상부면 및 상기 시일들은 상기 제 1 가스 통로 및 가스 유입구로부터 흐름이 차단되는 (flow isolated) 상기 갭을 정의하는, 샤워헤드 전극 어셈블리.
  8. 제 6 항에 있어서,
    상기 갭은 상기 갭 전체에 걸쳐 방사상으로 25 ㎛ 내지 150 ㎛ 의 일정한 높이를 갖는, 샤워헤드 전극 어셈블리.
  9. 제 7 항에 있어서,
    상기 갭 내에 위치한 적어도 3 개의 롤러들을 더 포함하며,
    상기 롤러들은 상기 갭의 일정한 높이를 유지하고 상기 샤워헤드 전극 어셈블리의 열 팽창 동안 상기 상판의 상기 저부면 및 상기 샤워헤드 전극의 상기 상부면과의 마찰 접촉을 최소화하는, 샤워헤드 전극 어셈블리.
  10. 제 6 항에 있어서,
    상기 샤워헤드 전극은, 상기 샤워헤드 전극 어셈블리의 열 팽창 및 수축을 수용하기 위해 방사상으로 플렉서블한 적어도 2 개의 지지 브래킷들에 의해 상기 상판으로부터 플렉서블하게 매달리는, 샤워헤드 전극 어셈블리.
  11. 제 10 항에 있어서,
    상기 지지 브래킷들은 적어도 하나의 플라즈마 노출된 면 및 상기 플라즈마 노출된 면 상의 코팅을 포함하고, 상기 코팅은 내부식성 및 내마모성, 및 오염-중립 (contamination-neutral) 재료를 포함하는, 샤워헤드 전극 어셈블리.
  12. 삭제
  13. 제 6 항에 있어서,
    상기 상부 전극 및 상기 저부 전극은 서로 확산 접합되고, 필수적으로 실리콘 및 선택적으로 실리콘 산화물로 이루어지는, 샤워헤드 전극 어셈블리.
  14. 제 6 항에 기재된 상기 샤워헤드 전극 어셈블리;
    상기 제 1 가스 통로와 유체 소통하는 상기 프로세스 가스 공급부;
    상기 제 1 가스 통로와 유체 소통하는 상기 가열 가스 공급부로서, 가열 가스를 상기 제 1 가스 통로에 공급하고 상기 제 1 가스 통로로부터 상기 가열 가스를 배기하도록 구성되는, 상기 가열 가스 공급부; 및
    상기 제 2 가스 통로와 유체 소통하는 상기 전열 가스 공급부로서, 전열 가스를 상기 갭에 공급하고 상기 갭으로부터 상기 전열 가스를 선택적으로 배기하도록 구성되는, 상기 전열 가스 공급부를 포함하는, 플라즈마 프로세싱 챔버.
  15. 제 14 항에 있어서,
    상기 가열 가스 공급부는, 상기 제 1 가스 통로와 유체 소통하는 제 1 가스 라인과 유체 소통하는 가열 가스 공급기, 상기 가열 가스를 예열하기 위해 상기 제 1 가스 라인을 따라 배열된 히터, 및 상기 제 1 가스 라인을 따라 배열되고 상기 제 1 가스 통로로부터 상기 가열 가스를 배기하도록 동작 가능한 제 1 펌프와 유체 소통하는 선택적 제 1 밸브를 포함하고,
    상기 전열 가스 공급부는, 상기 제 2 가스 통로와 유체 소통하는 제 2 가스 라인과 유체 소통하는 전열 가스 공급기, 및 상기 제 2 가스 라인을 따라 배열되고 상기 갭으로부터 상기 전열 가스를 배기하도록 동작 가능한 제 2 펌프와 유체 소통 하는 제 2 밸브를 포함하는, 플라즈마 프로세싱 챔버.
  16. 제 14 항에 있어서,
    상기 샤워헤드 전극으로부터 이격되고 기판을 지지하도록 구성된 지지면을 포함하는 기판 지지 어셈블리; 및
    상기 샤워헤드 전극의 상기 저부면과 상기 지지면 사이에 플라즈마 한정 구역을 정의하도록 배열된 한정 링 어셈블리를 더 포함하는, 플라즈마 프로세싱 챔버.
  17. 제 6 항에 기재된 상기 샤워헤드 전극 어셈블리를 포함하는 플라즈마 프로세싱 챔버 내에서 반도체 기판을 프로세싱하는 방법으로서,
    예열된 가열 가스를 상기 가열 가스 공급부로부터 상기 제 1 가스 통로를 통해 상기 샤워헤드 전극으로 공급하여 상기 샤워헤드 전극을 가열하는 단계;
    상기 제 1 가스 통로로의 상기 가열 가스의 공급을 종료하는 단계;
    프로세스 가스를 상기 프로세스 가스 공급부로부터 상기 제 1 가스 통로를 통해 상기 샤워헤드 전극으로 공급하는 단계;
    상기 프로세스 가스를 에너자이징하여 상기 플라즈마 프로세싱 챔버 내에서 플라즈마를 생성하여, 상기 플라즈마 프로세싱 챔버 내의 기판 지지체 상에 배치된 기판을 플라즈마 프로세싱하는 단계; 및
    전열 가스를 상기 전열 가스 공급부로부터 상기 제 2 가스 통로를 통해 상기 갭으로 공급하여, 상기 플라즈마의 생성 동안 상기 샤워헤드 전극으로부터의 열을 전달하는 단계를 포함하는, 반도체 기판의 프로세싱 방법.
  18. 제 17 항에 있어서,
    상기 예열된 가열 가스는 100 ℃ 내지 500 ℃ 의 온도를 갖고,
    상기 샤워헤드 전극은 상기 기판의 직경을 적어도 50 mm 만큼 초과하는 직경을 갖는, 반도체 기판의 프로세싱 방법.
  19. 제 6 항에 기재된 상기 샤워헤드 전극 어셈블리를 포함하는 플라즈마 프로세싱 챔버 내에서 반도체 기판을 프로세싱하는 방법으로서,
    예열된 가열 가스를 가열 가스 공급부로부터 상기 샤워헤드 전극 어셈블리 내의 제 1 가스 통로를 통해 상기 샤워헤드 전극으로 공급하여 상기 샤워헤드 전극을 가열하는 단계;
    상기 샤워헤드 전극으로의 상기 가열 가스의 공급을 종료하는 단계;
    프로세스 가스를 프로세스 가스 공급부로부터 상기 제 1 가스 통로를 통해 상기 샤워헤드 전극으로 공급하는 단계;
    상기 프로세스 가스를 에너자이징하여 상기 플라즈마 프로세싱 챔버 내에서 플라즈마를 생성하여, 상기 플라즈마 프로세싱 챔버 내의 기판 지지체 상에 배치된 기판을 플라즈마 프로세싱하는 단계; 및
    전열 가스를 전열 가스 공급부로부터 상기 샤워헤드 전극 어셈블리 내의 제 2 가스 통로를 통해 상기 샤워헤드 전극 어셈블리로 공급하여, 상기 플라즈마의 생성 동안 상기 샤워헤드 전극으로부터의 열을 전달하는 단계를 포함하며,
    상기 제 1 가스 통로는 상기 제 2 가스 통로로부터 흐름이 차단되는 (flow isolated), 반도체 기판의 프로세싱 방법.
  20. 제 19 항에 있어서,
    상기 예열된 가열 가스는 100 ℃ 내지 500 ℃ 의 온도를 갖고,
    상기 샤워헤드 전극은 상기 기판의 직경을 적어도 50 mm 만큼 초과하는 직경을 갖는, 반도체 기판의 프로세싱 방법.
KR1020097022752A 2007-03-30 2008-03-27 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리 KR101512524B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/730,298 2007-03-30
US11/730,298 US8069817B2 (en) 2007-03-30 2007-03-30 Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
PCT/US2008/003970 WO2008121288A1 (en) 2007-03-30 2008-03-27 Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020147032332A Division KR101570633B1 (ko) 2007-03-30 2008-03-27 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리

Publications (2)

Publication Number Publication Date
KR20100016083A KR20100016083A (ko) 2010-02-12
KR101512524B1 true KR101512524B1 (ko) 2015-04-15

Family

ID=39795184

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020097022752A KR101512524B1 (ko) 2007-03-30 2008-03-27 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리
KR1020147032332A KR101570633B1 (ko) 2007-03-30 2008-03-27 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020147032332A KR101570633B1 (ko) 2007-03-30 2008-03-27 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리

Country Status (6)

Country Link
US (2) US8069817B2 (ko)
JP (2) JP5656626B2 (ko)
KR (2) KR101512524B1 (ko)
CN (1) CN101663417B (ko)
TW (2) TWI503444B (ko)
WO (1) WO2008121288A1 (ko)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
CN101842877B (zh) * 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
KR101598332B1 (ko) * 2009-07-15 2016-03-14 어플라이드 머티어리얼스, 인코포레이티드 Cvd 챔버의 유동 제어 피쳐
DE102009037299A1 (de) * 2009-08-14 2011-08-04 Leybold Optics GmbH, 63755 Vorrichtung und Behandlungskammer zur thermischen Behandlung von Substraten
KR101118477B1 (ko) * 2009-11-26 2012-03-12 주식회사 테스 가스 분산판 및 이를 갖는 공정 챔버
TWI417984B (zh) * 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
JP5650479B2 (ja) * 2010-09-27 2015-01-07 東京エレクトロン株式会社 電極及びプラズマ処理装置
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
KR101503512B1 (ko) 2011-12-23 2015-03-18 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
US20140060435A1 (en) * 2012-09-04 2014-03-06 Applied Materials, Inc. Doors for high volume, low cost system for epitaxial silicon deposition
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR102451499B1 (ko) 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
DE102015110440A1 (de) * 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
KR101698433B1 (ko) * 2015-04-30 2017-01-20 주식회사 에이씨엔 기상식각 및 세정을 위한 플라즈마 장치
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN106637132B (zh) * 2015-10-29 2020-01-10 沈阳拓荆科技有限公司 循环媒介自动控温、热传导气体传导温度的晶圆反应台
CN106711004B (zh) * 2015-11-13 2018-08-24 北京北方华创微电子装备有限公司 进气机构和等离子刻蚀机
CN106898534B (zh) * 2015-12-21 2019-08-06 中微半导体设备(上海)股份有限公司 等离子体约束环、等离子体处理装置与基片处理方法
WO2017213193A1 (ja) * 2016-06-10 2017-12-14 東京エレクトロン株式会社 銅層をエッチングする方法
JP6146840B1 (ja) * 2016-08-04 2017-06-14 日本新工芯技株式会社 電極板
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
DE102017100192A1 (de) * 2017-01-06 2018-07-12 Cinogy Gmbh Permanente Wundauflage mit Plasmaelektrode
JP2020516770A (ja) 2017-04-07 2020-06-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板端部上のプラズマ密度制御
CN108962713B (zh) * 2017-05-25 2020-10-16 北京北方华创微电子装备有限公司 一种工艺腔室及半导体处理设备
CN110832624B (zh) * 2017-07-05 2024-02-27 株式会社爱发科 等离子体处理方法及等离子体处理装置
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
KR102670124B1 (ko) 2018-05-03 2024-05-28 주성엔지니어링(주) 기판 처리 장치
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
CN110660707B (zh) * 2018-06-29 2022-06-14 台湾积体电路制造股份有限公司 电浆产生系统及温度调节方法
WO2021061461A1 (en) * 2019-09-23 2021-04-01 Lam Research Corporation Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US11694908B2 (en) * 2020-10-22 2023-07-04 Applied Materials, Inc. Gasbox for semiconductor processing chamber
JP7114763B1 (ja) 2021-02-15 2022-08-08 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
JP2024006589A (ja) * 2022-07-04 2024-01-17 三菱マテリアル株式会社 プラズマ処理装置用の電極板と電極構造

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0967685A (ja) * 1995-08-25 1997-03-11 Souzou Kagaku:Kk プラズマエッチング用平行平板電極
WO2005065186A2 (en) * 2003-12-23 2005-07-21 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP2005303329A (ja) * 2005-06-23 2005-10-27 Hitachi Ltd プラズマエッチング装置

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3818584A (en) 1967-09-06 1974-06-25 Tokyo Shibaura Electric Co Method for manufacturing a semiconductor apparatus
JPS5946088B2 (ja) * 1980-08-20 1984-11-10 株式会社日立国際電気 気相反応装置
JPS60128653A (ja) * 1983-12-16 1985-07-09 Hitachi Ltd 半導体集積回路装置
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5302964A (en) * 1992-09-25 1994-04-12 Hughes Aircraft Company Heads-up display (HUD) incorporating cathode-ray tube image generator with digital look-up table for distortion correction
DE69420474T2 (de) * 1993-06-30 2000-05-18 Applied Materials Inc Verfahren zum Spülen und Auspumpen einer Vakuumkammer bis Ultra-Hoch-Vakuum
US5569358A (en) * 1994-06-01 1996-10-29 James River Corporation Of Virginia Imprinting felt and method of using the same
JPH07335635A (ja) * 1994-06-10 1995-12-22 Souzou Kagaku:Kk 平行平板形ドライエッチング装置
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US6153013A (en) * 1996-02-16 2000-11-28 Canon Kabushiki Kaisha Deposited-film-forming apparatus
US5754391A (en) * 1996-05-17 1998-05-19 Saphikon Inc. Electrostatic chuck
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6334983B1 (en) * 1997-04-11 2002-01-01 Tokyo Electron Limited Processing system
US5910221A (en) * 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6063441A (en) 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
KR20000028097A (ko) 1998-10-30 2000-05-25 김영환 반도체 증착장비용 샤워헤드
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6123775A (en) 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
WO2001052302A1 (en) * 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP4778655B2 (ja) * 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
KR100638917B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
JP4717179B2 (ja) * 2000-06-21 2011-07-06 日本電気株式会社 ガス供給装置及び処理装置
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
TWI303084B (en) * 2000-09-08 2008-11-11 Tokyo Electron Ltd Shower head structure, film forming method, and gas processing apparauts
US20020127853A1 (en) 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6991999B2 (en) 2001-09-07 2006-01-31 Applied Materials, Inc. Bi-layer silicon film and method of fabrication
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
TWI261875B (en) * 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
JP4151308B2 (ja) 2002-05-17 2008-09-17 東京エレクトロン株式会社 処理装置のガス導入方法
WO2003100817A1 (en) 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
TWI270645B (en) * 2002-09-30 2007-01-11 Lam Res Corp Method and apparatus for processing a substrate
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US7108806B2 (en) 2003-02-28 2006-09-19 National Starch And Chemical Investment Holding Corporation Conductive materials with electrical stability and good impact resistance for use in electronics devices
WO2004100247A1 (ja) * 2003-05-12 2004-11-18 Sosul Co., Ltd. プラズマエッチングチャンバーと、これを用いたプラズマエッチングシステム
JP4532897B2 (ja) 2003-12-26 2010-08-25 財団法人国際科学振興財団 プラズマ処理装置、プラズマ処理方法及び製品の製造方法
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7507670B2 (en) 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
JP4508054B2 (ja) * 2005-09-12 2010-07-21 パナソニック株式会社 電極部材の製造方法
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7619179B2 (en) * 2006-01-20 2009-11-17 Tokyo Electron Limited Electrode for generating plasma and plasma processing apparatus using same
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8313610B2 (en) * 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
CN101842877B (zh) * 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0967685A (ja) * 1995-08-25 1997-03-11 Souzou Kagaku:Kk プラズマエッチング用平行平板電極
WO2005065186A2 (en) * 2003-12-23 2005-07-21 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP2005303329A (ja) * 2005-06-23 2005-10-27 Hitachi Ltd プラズマエッチング装置

Also Published As

Publication number Publication date
TWI503444B (zh) 2015-10-11
US8443756B2 (en) 2013-05-21
TWI512135B (zh) 2015-12-11
WO2008121288A1 (en) 2008-10-09
KR101570633B1 (ko) 2015-11-20
US8069817B2 (en) 2011-12-06
KR20140146212A (ko) 2014-12-24
CN101663417A (zh) 2010-03-03
JP5656626B2 (ja) 2015-01-21
JP2010524205A (ja) 2010-07-15
US20120045902A1 (en) 2012-02-23
TW200902751A (en) 2009-01-16
TW201414870A (zh) 2014-04-16
JP2015029132A (ja) 2015-02-12
CN101663417B (zh) 2013-12-11
JP5826353B2 (ja) 2015-12-02
US20080242085A1 (en) 2008-10-02
KR20100016083A (ko) 2010-02-12

Similar Documents

Publication Publication Date Title
KR101512524B1 (ko) 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리
US7645341B2 (en) Showerhead electrode assembly for plasma processing apparatuses
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
CN101495670B (zh) 具有减少聚合物沉积特性的等离子约束环组件
US20040187787A1 (en) Substrate support having temperature controlled substrate support surface
TWI801413B (zh) 具有加熱的噴淋頭組件之基板處理腔室
US11031273B2 (en) Physical vapor deposition (PVD) electrostatic chuck with improved thermal coupling for temperature sensitive processes
JP2023514549A (ja) 基板処理用の静電エッジリング取り付けシステム

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B701 Decision to grant
FPAY Annual fee payment

Payment date: 20180329

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190327

Year of fee payment: 5