TWI390624B - 用於電漿處理裝置之蓮蓬頭電極組合物 - Google Patents

用於電漿處理裝置之蓮蓬頭電極組合物 Download PDF

Info

Publication number
TWI390624B
TWI390624B TW093140137A TW93140137A TWI390624B TW I390624 B TWI390624 B TW I390624B TW 093140137 A TW093140137 A TW 093140137A TW 93140137 A TW93140137 A TW 93140137A TW I390624 B TWI390624 B TW I390624B
Authority
TW
Taiwan
Prior art keywords
thermal control
thermal
showerhead electrode
plate
top plate
Prior art date
Application number
TW093140137A
Other languages
English (en)
Other versions
TW200524038A (en
Inventor
William S Kennedy
David E Jacob
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200524038A publication Critical patent/TW200524038A/zh
Application granted granted Critical
Publication of TWI390624B publication Critical patent/TWI390624B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Materials For Medical Uses (AREA)

Description

用於電漿處理裝置之蓮蓬頭電極組合物
本發明係關於用於電漿處理裝置之蓮蓬頭電極組合。
電漿處理裝置係用以藉由包含以下之技術而處理基板:蝕刻、物理汽相沈積(PVD)、化學汽相沈積(CVD)、離子植入與光阻移除。用於電漿處理之一種類型的電漿處理裝置包含一包括上電極與底部電極的反應室。電場係建立在電極之間以激發處理氣體進入電漿狀態,從而處理反應室中的基板。
本發明提供一種半導體基板處理裝置之蓮蓬頭電極組合物,與用以支撐半導體基板處理室中的蓮蓬頭電極之熱控制板。
用以支撐半導體基板處理室中的蓮蓬頭電極之熱控制板之較佳具體實施例包括:金屬外部部分,其係可移除地附於溫度控制頂板;與金屬內部部分,其係可移除地附於蓮蓬頭電極與頂板。熱控制板之內部部分提供頂板與蓮蓬頭電極之間的熱及電性路徑。
用於電漿處理裝置的蓮蓬頭電極組合物之較佳具體實施例包括頂板、蓮蓬頭電極與熱控制板。熱控制板係附於蓮蓬頭電極與頂板,以便熱控制板之中心部分可相對於頂板而移動。至少一個熱橋接器係提供在熱控制板之中心部分與頂板之間。熱橋接器提供蓮蓬頭電極與頂板之間的熱及 電性路徑。
熱橋接器較佳包含潤滑材料以允許熱控制板與頂板之相對表面之間的滑動並且提供其間的熱及電性傳導。
另一較佳具體實施例提供處理半導體基板處理室中的半導體基板之方法,其包括:(a)將基板放置於基板支撐上,其包含半導體基板處理裝置之電漿室中的底部電極;(b)採用依據較佳具體實施例的蓮蓬頭電極組合物供應處理氣體於電漿室中;(c)採用電漿室中的處理氣體在蓮蓬頭電極組合物與基板之間產生電漿;(d)採用電漿處理基板;(e)終止電漿之產生;以及(f)從電漿室中移除基板。蓮蓬頭電極組合物較佳包括加熱器。在另一較佳具體實施例中,該方法包括在(e)之後啟動加熱器以施加熱於蓮蓬頭電極,從而將蓮蓬頭電極維持在所需溫度,及/或在(a)至(f)期間啟動加熱器以施加熱於蓮蓬頭電極。
圖1解說用於其中處理半導體基板(例如矽晶圓)之電漿處理裝置的蓮蓬頭電極組合物10之較佳具體實施例。蓮蓬頭電極組合物10(圖1僅顯示其一半)包括:包含頂部電極20及固定至頂部電極20的可選擇襯墊構件40之蓮蓬頭電極、熱控制板58與頂板80。頂板80可以形成電漿處理裝置(例如電漿蝕刻室)之可移除頂壁。
包含底部電極與可選擇靜電夾電極之基板支撐15(圖1僅顯示其一部分),係固定在電漿處理裝置之真空處理室中的頂部電極20下面。受電漿處理的基板16係機械式或靜電式 夾在基板支撐15之上支撐表面17上。
蓮蓬頭電極之頂部電極20較佳包含內部電極構件22與可選擇外部電極構件24。內部電極構件22較佳為圓筒形板(例如單晶矽)。若該板係由單晶矽製造,則內部電極構件22可以具有小於、等於或大於要處理的晶圓之直徑,例如達12英吋(300 mm),其為目前可用的單晶矽材料之最大直徑。為了處理300 mm晶圓,提供外部電極構件24以將頂部電極20之直徑從約15英吋擴大至約17英吋。外部電極構件24可以為連續構件(例如多晶矽構件,如環),或片段構件(例如配置在環組態中的2至6個分離片段,如單晶矽之片段)。在包含多個片段外部電極構件24的頂部電極20之具體實施例中,片段較佳具有彼此重疊的邊緣,以保護底下的焊接材料不會曝露於電漿。內部電極構件22較佳包含多個氣體通道23,以將處理氣體噴入頂部電極20與底部電極15之間有電漿反應室之空間中。
單晶矽為用於內部電極構件22與外部電極構件24之電漿曝露表面的較佳材料。高純度單晶矽可在電漿處理期間最小化基板之污染,因為其僅將最小量之不合需要的元素引入反應室中,並且還在電漿處理期間光滑地磨損,從而最小化粒子。用於頂部電極20之電漿曝露表面的替代材料包含例如SiC、SiN、AlN與Al2 O3
在較佳具體實施例中,蓮蓬頭電極組合物10大到足以處理較大的基板,例如具有300 mm之直徑的半導體晶圓。對於300 mm晶圓而言,頂部電極20的直徑為至少300 mm。然 而可以將蓮蓬頭電極組合物的尺寸定為處理具有非圓形組態的其他晶圓尺寸或基板。
襯墊構件40較佳包含襯墊板42與襯墊環44。在此類具體實施例中,內部電極構件22係與襯墊板42共同延伸,而外部電極構件24係與周圍襯墊環44共同延伸。然而襯墊板42可以延伸至超出內部電極構件,以便單一襯墊板可用以支持內部電極構件與片段外部電極構件。較佳藉由焊接材料(例如彈性焊接材料),將內部電極構件22與外部電極構件24附於襯墊構件40。襯墊板42包含氣體通道43,其係與內部電極構件22中的氣體通道23對準,以提供氣體流進入電漿處理室。氣體通道43通常可以具有約0.04英吋的直徑,而氣體通道23通常可以具有約0.025英吋的直徑。
襯墊板42及襯墊環44較佳係由與用以處理電漿處理室中的半導體基板之處理氣體化學相容的材料製造,並且具有接近匹配電極材料之熱膨脹係數的熱膨脹係數,及/或係導電及導熱的。可用以製造襯墊構件40的較佳材料包含但不限於石墨及SiC。
採用導熱及導電彈性物焊接材料,可以將頂部電極20附於襯墊板42及襯墊環44,該材料適應熱應力並且轉移頂部電極20與襯墊板42及襯墊環44之間的熱及電能量。用以將電極組合物之表面焊接在一起的彈性物,係說明在(例如)共同擁有的美國專利第6,073,577號中,其全部係以引用的方式併入本文中。
較佳採用可以為螺栓、螺釘或類似物之合適緊固件將襯 墊板42及襯墊環44附於熱控制板58。例如,可以將螺栓(圖中未顯示)插入熱控制板58之孔中,並且旋入襯墊構件40之開口中。
參考圖1與圖2,熱控制板58包括金屬內部部分,其包含具有上表面60的成形板59,以及具有第一熱轉移表面62之第一凸出部分61,與具有上表面上的第二熱轉移表面64之第二凸出部分63。在其他較佳具體實施例中,熱控制板58可以包含二個以上的凸出部分,例如三個或更多凸出部分。採用緊固件將熱控制板58附於頂板80,該緊固係穿過頂板中的超大尺寸開口(圖中未顯示),並進入第一凸出部分61之表面62與第二凸出部分63之表面64中的螺紋開口65(圖2)。熱控制板58還包含螺紋開口117以接收緊固件,從而將熱控制板58可移除地附於襯墊板42。頂板80中的超大尺寸開口提供緊固件周圍的間隙,以便熱控制板58可以相對於頂板而滑動,從而適應熱控制板相對於頂板之熱膨脹失配。
熱控制板58還包含彎曲部分66,其將內部部分連接至外部部分而且包含具有上表面70的凸緣68,其係保持成對抗頂板80之相對表面。第一熱轉移表面62與第二熱表面轉移表面64較佳具有環形組態。第一凸出部分61與第二凸出部分63較佳具有從約0.25英吋至約0.75英吋的高度,以及從約0.75英吋至約1.25英吋的寬度。然而第一凸出部分61及/或第二凸出部分63可以具有非環形組態,例如弓形片段、多面形、圓形、卵形或其他組態。
熱控制板58較佳係由金屬材料(例如鋁、鋁合金或類似金屬)製造。熱控制板58較佳為金屬材料(例如鋁、鋁合金)之加工件。頂板80較佳係由鋁或鋁合金製成。頂板80較佳包含一或多個流動通道88,可以透過該等流動通道而循環溫度控制流體(較佳為液體),以將頂板維持在所需溫度。
於在處理室中處理半導體基板期間,經由自第一熱轉移表面62、第二熱轉移表面64的熱傳導並且透過上表面70,將熱從內部電極構件22及外部電極構件24與襯墊板42及襯墊環44轉移至頂板80之下表面82。換言之,第一凸出部分61與第二凸出部分63還提供內部電極構件22、外部電極構件24、襯墊板42與襯墊環44之間的熱橋接器給頂板80。在橫跨熱控制板58之間隔位置之此增強的熱轉移,可以達到徑向橫跨頂部電極20的實質均勻溫度分佈。
在蓮蓬頭電極組合物10之操作期間,熱控制板58與頂板80得到加熱並且進行熱膨脹。結果,頂板80與熱控制板58可以相對於彼此而滑動。此滑動可以磨傷頂板80及/或熱控制板58之彼此接觸的表面(例如熱控制板58之中心部分的一或多個表面),並且引起粒子(例如鋁粒子)從接觸表面上被驅逐。鬆散粒子可能會污染反應室中的基板,並因此減小處理產量。
已決定藉由將具有潤滑性的材料放置在相對表面之間,可以最小化頂板80及/或熱控制板58之相對表面的磨傷。在較佳具體實施例中,將至少一層潤滑材料90放置在熱控制板58之第一熱轉移表面62及第二熱轉移表面64,與頂板80 之下表面82之間。
潤滑材料90具有足夠的熱及電性傳導性,來提供自第一熱轉移表面62與第二熱轉移表面64的足夠熱轉移及電性傳導給頂板80。提供該等特性的較佳材料為彈性可變形石墨材料,例如「GRAFOIL」,其可以商業方式從美國俄亥俄州克里夫蘭市UCAR Carbon公司獲得。潤滑材料90較佳為以下墊圈:其具有較佳厚度約0.010英吋至約0.030英吋,更佳約0.015英吋。潤滑材料90較佳為環形墊圈,各墊圈係保留在形成於第一熱轉移表面62與第二熱轉移表面64之各表面上的個別環形凹入部分中。
潤滑材料90較佳係保護成不曝露於反應室中的電漿。在較佳具體實施例中,潤滑材料90係置放在真空密封件之間,例如一對可選擇O形環104,其係保留在熱控制板58之第一熱轉移表面62與第二熱轉移表面64之間隔環形溝渠105中。O形環104將潤滑材料90與電漿室中的真空環境隔離,從而保護潤滑材料不曝露於電漿。第一熱轉移表面62與第二熱轉移表面64較佳係藉由潤滑材料90與頂板80之下表面82隔開達足夠的距離,以便沿第一熱轉移表面62或第二熱轉移表面64不存在金屬對金屬之滑動接觸。
熱控制板58較佳包含至少一個加熱器,其可操作以與溫度控制頂板80配合,從而控制頂部電極20之溫度。例如在較佳具體實施例中,加熱器係提供在熱控制板58之上表面上並且包含由第一凸出部分61所包圍的第一加熱器區域72、第一凸出部分61與第二凸出部分63之間的第二加熱器 區域74、以及第二凸出部分63與彎曲部分66之間的第三加熱器區域76。可以改變加熱器區域之數量,例如在其他具體實施例中加熱器可以包含單一加熱器區域、二個加熱器區域、或三個以上的加熱器區域。另外可以將加熱器提供在熱控制板58之底表面上。
加熱器較佳包括層壓物,其包含置放在可以經受加熱器所達到的操作溫度之聚合材料之相對層之間的電阻式加熱材料。可以使用的示範性聚合材料為聚醯亞胺,其銷售商標為Kapton7,該材料可以商來方式從E.I.du Pont de Nemours and Company公司獲得。或者,加熱器可以為嵌入在熱控制板中的電阻加熱器(例如鑄造熱控制板中的加熱元件,或定位在形成於熱控制板中之通道中的加熱元件)。加熱器之另一具體實施例包含安裝於熱控制板之上表面及/或下表面上的電阻加熱元件。經由傳導及/或輻射可達到熱控制板之加熱。
加熱器材料可以具有任一合適圖案,其提供第一加熱器區域72、第二加熱器區域74與第三加熱器區域76之均勻加熱。例如層壓物加熱器可以具有電阻加熱線路之規則或不規則圖案,例如之字形、蛇形或同心圖案。藉由與溫度控制頂板80之操作配合,採用加熱器對熱控制板58進行加熱,可以在蓮蓬頭電極組合物10之操作期間橫跨頂部電極20而提供所需溫度分佈。
藉由任一合適技術(例如施加熱與壓力、黏結劑、緊固件或類似物),可以將定位在第一加熱器區域72、第二加熱器 區域74與第三加熱器區域76中的加熱器區段固定至熱控制板58。
在較佳具體實施例中,經由電性連接器77而電性互連第一加熱器區域72、第二加熱器區域74與第三加熱器區域76。在較佳具體實施例中,加熱器包括三個電路,其包含:第一電阻加熱導體,其係調適成接收第一相位中的交流電流;第二電阻加熱導體,其係調適成接收第二相位中的交流電流;與第三電阻加熱導體,其係調適成接收第三相位中的交流電流,其中第一相位、第二相位與第三相位係彼此異相120E。
如圖3所示,加熱器可以從單一電源110接收電。在較佳具體實施例中,電源110係電性連接至三個圓周式間隔柱形物,例如接收在熱控制板58之凸緣68之開口93中的柱形物95。柱形物95係分別連接至電性導體97,其穿過凸緣68至靴形物79,並且電性接觸定位在第三加熱器區域76中的三相加熱器之個別相位。第三加熱器76之三個相位係經由連接77而電性連接至第二加熱器之三個對應相位,並且第二加熱器之三個相位係藉由連接77而電性連接至第一加熱器之三個相位。
熱控制板58較佳包含橫向氣體通道75,以使處理氣體可從第一加熱器區域72上的氣室橫向流向第二加熱器區域74上的氣室,並且從第二加熱器區域74上的氣室流向第三加熱器區域76上的氣室。在較佳具體實施例中,複數個氣體通道75穿過第一凸出部分61與第二凸出部分63。將氣體通 道75的尺寸定為使電性連接器77可穿過氣體通道75,以電性連接第一加熱器區域72、第二加熱器區域74與第三加熱器區域76。氣體通道75較佳係足夠大,以使處理氣體可分配在熱控制板58之上表面上,從而提供穿過與熱控制板與襯墊構件40之間的氣室進行通信之開口78的氣體之均勻壓力分佈。
頂部電極20可加以電性接地,或者較佳藉由射頻(RF)電流源而可加以供電。在較佳具體實施例中,對頂部電極20進行接地,並且將具有一或多個頻率的電源施加於底部電極,以在電漿處理室中產生電漿。例如可以藉由二個獨立控制射頻電源,採用2 MHz及27 MHz之頻率對底部電極供電。在已處理基板(例如已對半導體基板進行電漿蝕刻)之後,切斷供應給底部電極的電源以終止電漿產生。從電漿處理室移除所處理的基板,並且將另一基板放置於基板支撐15上以進行電漿處理。在較佳具體實施例中,啟動加熱器以對熱控制板58進行加熱,並且當切斷供應給底部電極的電源時,進而對頂部電極20進行加熱。結果,較佳防止頂部電極20溫度減小至所需最小溫度以下。較佳將頂部電極20之溫度維持在接近連續基板處理運行之間的恆定溫度,以便更均勻地處理基板,從而改進處理產量。較佳可將電源110控制成根據頂部電極20之實際溫度與所需溫度,在所需位準及速率情況下供電給加熱器。
蓮蓬頭電極組合物10可以包含一或多個溫度感測器(例如熱耦器),以監視頂部電極20之溫度。較佳藉由控制自電 源110至加熱器的電源供應之控制器而監視溫度感測器。當由溫度感測器所提供的資料指示頂部電極20之溫度係在預定溫度以下時,可以藉由控制器啟動電源110以供電給加熱器,從而將頂部電極20維持在或超過預定溫度。
還可以在基板之電漿處理期間啟動加熱器,即當於蓮蓬頭電極組合物10與底部電極之間產生電漿時。例如在利用施加的相對較低位準電源來產生電漿之電漿處理操作期間,可以啟動加熱器以將頂部電極20之溫度維持在所需溫度範圍內。在利用相對較高電源位準之其他電漿處理操作(例如介電材料蝕刻處理)期間,頂部電極20之溫度通常保持在連續運行之間的足夠高溫,以便不需要啟動加熱器來防止頂部電極降低至最小溫度以下。
在圖3所示的具體實施例中,熱控制板58之彎曲部分66包括延伸至凸緣68的圓筒形壁。例如藉由分別插入頂板80與凸緣68中的對準開口84、86之緊固件(例如螺栓、螺釘或類似物)(圖1),將凸緣68附於頂板80。凸緣68較佳具有環形組態。彎曲部分66具有可適應熱控制板58相對於頂板80之熱膨脹與收縮的組態。也就是說,彎曲部分66較佳具有一長度對厚度比,其係最佳化成適應頂板80及熱控制板58之中心部分之間的橫向及軸向移動,並且防止對熱控制板58的相關聯損害。在橫向滑動移動期間,潤滑材料90可以防止熱控制板58之熱轉移表面62與64以及頂板80之下表面82之磨傷。藉由提供彎曲部分66,可以在凸緣68之頂部表面70與頂板80之下表面82之間省去潤滑材料。
採用合適緊固件,將熱控制板58可移除地附於頂板80,該緊固件穿過頂板80中的開口84並且進入形成於凸緣68中的開口86。在一項具體實施例中,蓮蓬頭電極組合物10包括附於頂板80之頂側122的蓋板120。蓋板120密封頂板80中的開口之頂端,以便該等開口中的緊固件係在處理裝置之真空壓力中。然而,藉由提供開口86周圍的真空密封(例如可以將O形環104提供在包含開口86的區段周圍),可以省去蓋板。在圖2中,O形環提供三個真空密封區段,各區段包含六個隔開的開口84。
在熱控制板58之具體實施例中,其中第一凸出部分61與第二凸出部分63分別包含O形環104以提供熱控制板58與頂板80之間的真空密封區域,若螺栓之頂部未密封,則將頂板80附於熱控制板58的緊固件可以曝露於處理裝置中的大氣壓力。
將複數個圓周式間隔插針106可選擇地提供在熱控制板58之凸緣68上。將對準插針106的尺寸定為配合頂板80中的對準開口(圖中未顯示),以相對於頂板80而圓周式及徑向對準熱控制板58。
頂板80較佳包含一或多個氣體流動通道,以將處理氣體引入頂板80與熱控制板58之間的一或多個敞開空間(氣室)。例如處理氣體可以僅供應給第一加熱器上的控制氣室,並且經由通道75而分配給其他氣室。處理氣體係從上氣室流經通道78進入下氣室,並接著流經襯墊板42中的氣體通道43以及內部電極構件22中的氣體通道23。將氣體通 道78的尺寸定為透過熱控制板58提供所需壓力降落。氣體通道78通常可以具有約0.3英吋的直徑。較佳選擇氣體通道78之數量與配置,以達到頂部電極20上及橫跨該電極的均勻氣體壓力,從而提供均勻氣體分佈給電漿室。蓮蓬頭電極組合物10可選擇地包含上氣室及/或下氣室中的擋板,以控制氣流之均勻度。
較佳藉由使熱轉移流體(液體或氣體)流經流動通道88而控制頂板80之溫度。頂板80較佳提供電性接地以及散熱片用於蓮蓬頭電極組合物10。
如圖2所示,將開口114提供在熱控制板58之凸緣68中,用於可提供在蓮蓬頭電極組合物10外部的電漿限制組合物之控制桿的通道。包含垂直可調整電漿限制環組合物的合適電漿限制組合物係說明在共同擁有的美國專利第5,534,751號中,其全部係以引用的方式併入本文中。
雖然已參考本發明之特定具體實施例而詳細地說明本發明,但是熟習此項技術者應明白可以進行各種改變及修改並且使用等效實施方案,而不脫離所附申請專利範圍之範疇。
10‧‧‧蓮蓬頭電極組合物
15‧‧‧基板支撐
16‧‧‧基板
17‧‧‧支撐表面
20‧‧‧頂部電極
22‧‧‧內部電極構件
23‧‧‧氣體通道
24‧‧‧外部電極構件
40‧‧‧襯墊構件
42‧‧‧襯墊板
43‧‧‧氣體通道
44‧‧‧襯墊環
58‧‧‧熱控制板
59‧‧‧成形板
60‧‧‧上表面
61‧‧‧第一凸出部分
62‧‧‧第一熱轉移表面
63‧‧‧第二凸出部分
64‧‧‧第二熱轉移表面
65‧‧‧螺紋開口
66‧‧‧彎曲部分
68‧‧‧凸緣
70‧‧‧上表面
72‧‧‧第一加熱器區域
74‧‧‧第一加熱器區域
75‧‧‧氣體通道
76‧‧‧第一加熱器區域
77‧‧‧電性連接器
79‧‧‧靴形物
80‧‧‧頂板
82‧‧‧下表面
84‧‧‧開口
86‧‧‧開口
88‧‧‧流動通道
90‧‧‧潤滑材料
93‧‧‧開口
95‧‧‧柱形物
97‧‧‧電性導體
104‧‧‧O形環
105‧‧‧隔環形溝渠
106‧‧‧插針
110‧‧‧電源
114‧‧‧開口
117‧‧‧螺紋開口
120‧‧‧蓋板
122‧‧‧頂側
圖1解說用於電漿處理裝置的蓮蓬頭電極組合物與基板支撐之較佳具體實施例之一部分。
圖2為無頂板的蓮蓬頭電極組合物之較佳具體實施例之俯視透視圖。
圖3解說蓮蓬頭電極組合物之電源與加熱器之間的示範 性電性連接。
10‧‧‧蓮蓬頭電極組合物
15‧‧‧基板支撐
16‧‧‧基板
17‧‧‧支撐表面
20‧‧‧頂部電極
22‧‧‧內部電極構件
23‧‧‧氣體通道
24‧‧‧外部電極構件
40‧‧‧襯墊構件
42‧‧‧襯墊板
43‧‧‧氣體通道
44‧‧‧襯墊環
58‧‧‧熱控制板
59‧‧‧成形板
60‧‧‧上表面
61‧‧‧第一凸出部分
62‧‧‧第一熱轉移表面
63‧‧‧第二凸出部分
64‧‧‧第二熱轉移表面
66‧‧‧彎曲部分
68‧‧‧凸緣
70‧‧‧上表面
72‧‧‧第一加熱器區域
74‧‧‧第一加熱器區域
76‧‧‧第一加熱器區域
80‧‧‧頂板
82‧‧‧下表面
84‧‧‧開口
86‧‧‧開口
88‧‧‧流動通道
90‧‧‧潤滑材料
104‧‧‧O形環
105‧‧‧隔環形溝渠
106‧‧‧插針
110‧‧‧電源
117‧‧‧螺紋開口
120‧‧‧蓋板
122‧‧‧頂側

Claims (30)

  1. 一種用以支撐一半導體基板處理室中一蓮蓬頭電極之熱控制板,其包括:一包含一環形凸緣之金屬外部部分,其係調適成可移除地附於一溫度控制頂板;以及一包含一成形板金屬內部部分,該內部部分包括多個開口以接收緊固件而可移除地將該內部部分附於該蓮蓬頭電極與該頂板,該內部部分提供該頂板與該蓮蓬頭電極之間的一熱及電性路徑。
  2. 如請求項1之熱控制板,其中該成形板包括一上表面及該上表面上的一環形第一凸出部分,該第一凸出部分包含調適成轉移熱至該頂板的一第一熱轉換表面,以及各配置成接收該頂板與該第一熱轉移表面之間的一可選擇O形環之可選擇隔開環形溝渠。
  3. 如請求項2之熱控制板,其中該成形板包括在該上表面上並且與該第一凸出部分徑向間隔的一環形第二凸出部分,該第二凸出部分包含調適成轉移熱至該頂板的一第二熱轉換表面,以及各配置成接收該頂板與該第二熱轉移表面之間的一可選擇O形環之可選擇隔開環形溝渠。
  4. 如請求項1之熱控制板,其中該內部部分包括至少一個熱橋接器,其提供該頂板與該蓮蓬頭電極之間的一熱及電性路徑。
  5. 如請求項1之熱控制板,其中該外部部分包含:對準插針,其係調適成配合該頂板中的對準開口,以提供該熱 控制板與該頂板之間的圓周與徑向對準;螺紋開口,其係調適成接收穿過該頂板之一底側的螺栓;以及可選擇溝渠,其各係調適成接收該頂板與該熱控制板之間的一可選擇O形環。
  6. 如請求項1之熱控制板,其進一步包括至少一個加熱器,其可操作以供應熱給該蓮蓬頭電極。
  7. 如請求項6之熱控制板,其中該加熱器包括該成形板之一中心區域中的一內部加熱器區段,以及在該成形板之中心區域外部的至少一個外部加熱器區段,該內部加熱器區段與該外部加熱器區段係藉由至少一個電性連接器而互連。
  8. 如請求項6之熱控制板,其中該加熱器包括一層壓物,其包含介電層之間的一電阻加熱材料。
  9. 如請求項6之熱控制板,其中該加熱器包括一三相加熱器。
  10. 如請求項6之熱控制板,其中該加熱器包括三個電路,其包含:一第一電阻加熱導體,其係調適成接收一第一相位中的交流電流;一第二電阻加熱導體,其係調適成接收一第二相位中的交流電流;與一第三電阻加熱導體,其係調適成接收一第三相位中的交流電流,該第一相位、該第二相位與該第三相位係彼此異相120°。
  11. 如請求項1之熱控制板,其進一步包括在該內部部分之相對側之間延伸的氣體通道。
  12. 如請求項1之熱控制板,其中該熱控制板係一金屬材料之 一單一件。
  13. 一種用於一半導體基板處理裝置之蓮蓬頭電極組合物,其包括:一頂板;一蓮蓬頭電極,其包括焊接至一襯墊板之一底表面之一電極板;如請求項1之熱控制板,其係附於該襯墊板之一頂表面與附於該頂板,以便該熱控制板之一中心部分可相對於該頂板而移動;一加熱器,其係調適以加熱該熱控制板;以及至少一個在該熱控制板之該中心部分與該頂板之間的熱橋接器,該熱橋接器提供該蓮蓬頭電極與該頂板之間的一熱及電性路徑。
  14. 如請求項13之蓮蓬頭電極組合物,其包括至少二個橫向隔開的熱橋接器。
  15. 如請求項14之蓮蓬頭電極組合物,其中該等熱橋接器之各熱橋接器包括一潤滑材料層,其提供該熱控制板與該頂板之間的熱及電性傳導性,該潤滑材料係可選擇地定位在包括至少一個O形環的一真空密封件內。
  16. 如請求項15之蓮蓬頭電極組合物,其中該等潤滑材料層之各潤滑材料層具有一環形組態以及從約0.75英吋至約1.25英吋之一寬度。
  17. 如請求項13之蓮蓬頭電極組合物,其中該加熱器為一三相加熱器。
  18. 如請求項13之蓮蓬頭電極組合物,其中該加熱器包括一層壓物,其包含一介電材料之相對層之間的一電阻加熱材料。
  19. 如請求項13之蓮蓬頭電極組合物,其中該頂板包含至少一個流動通道,一加熱轉移流體流經該流動通道以控制該頂板之該溫度。
  20. 如請求項13之蓮蓬頭電極組合物,其中該熱控制板包含一彎曲部分,其係配置成適應差動熱膨脹並且提供該頂板與該熱控制板之間的熱及電性傳導。
  21. 如請求項13之蓮蓬頭電極組合物,其中該電極板係一矽板且該襯墊板係藉由一彈性物焊接而焊接至該矽板之一上表面。
  22. 如請求項21之蓮蓬頭電極組合物,其中該襯墊板為一石墨襯墊板。
  23. 如請求項13之蓮蓬頭電極組合物,其中該熱控制板為鋁或一鋁合金之一加工件。
  24. 如請求項13之蓮蓬頭電極組合物,其中該頂板係採用穿過該頂板中的開口之緊固件而附於該熱控制板,該蓮蓬頭電極組合物可選擇地包含一蓋板,其係附於該頂板之一頂側並且密封該等開口,以便該等緊固件係曝露於該處理裝置中的真空壓力。
  25. 如請求項13之蓮蓬頭電極組合物,其中該頂板係採用緊固件而附於該熱控制板,該等緊固件穿過該頂板中的開口並且係旋入該至少一個熱橋接器中的螺紋開口中,該 等熱橋接器之各熱橋接器包括至少一個O形環,其提供該熱控制板與該頂板之間的一真空密封,以便該等緊固件係曝露於該處理裝置中的大氣壓力。
  26. 如請求項13之蓮蓬頭電極組合物,其中該頂板係採用穿過該頂板中的開口之緊固件而附於該熱控制板,該等開口具有大於該等緊固件之直徑的直徑,以適應該頂板與該熱控制板之間的差動熱膨脹。
  27. 如請求項13之蓮蓬頭電極組合物,其中該至少一個熱橋接器包括該熱控制板上的二個隔開環形凸出部分,該頂板係採用穿過該頂板中的超大尺寸開口並進入該等熱橋接器之各熱橋接器的緊固件而附於該熱控制板,而且該熱控制板包含透過該等凸出部分的橫向延伸氣體通道,以及在橫向定位於該等熱橋接器內部及外部的該熱控制板之相對表面之間的徑向延伸氣體通道。
  28. 一種處理一半導體基板處理室中一半導體基板之方法,其包括:a)放置一基板於一半導體基板處理裝置之一電漿室中的一基板支撐上,該基板支撐包含一底部電極;b)採用依據請求項14之蓮蓬頭電極組合物供應一處理氣體至該電漿室中;c)採用在蓮蓬頭電極組合物與該基板之間的該電漿室中的該處理氣體產生一電漿;d)採用該電漿處理該基板;e)終止該電漿之該產生;以及 f)從該電漿室中移除該基板。
  29. 如請求項28之方法,其中該蓮蓬頭電極組合物進一步包括一加熱器,該方法進一步包括在e)之後啟動該加熱器以施加熱於該蓮蓬頭電極,從而將該蓮蓬頭電極維持在一所需溫度。
  30. 如請求項29之方法,其進一步包括在a)至f)期間啟動該加熱器以施加熱於該蓮蓬頭電極。
TW093140137A 2003-12-23 2004-12-22 用於電漿處理裝置之蓮蓬頭電極組合物 TWI390624B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/743,062 US7645341B2 (en) 2003-12-23 2003-12-23 Showerhead electrode assembly for plasma processing apparatuses

Publications (2)

Publication Number Publication Date
TW200524038A TW200524038A (en) 2005-07-16
TWI390624B true TWI390624B (zh) 2013-03-21

Family

ID=34678564

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093140137A TWI390624B (zh) 2003-12-23 2004-12-22 用於電漿處理裝置之蓮蓬頭電極組合物

Country Status (7)

Country Link
US (2) US7645341B2 (zh)
JP (2) JP4870575B2 (zh)
KR (1) KR101345904B1 (zh)
CN (1) CN1977068A (zh)
BR (1) BRPI0417991A (zh)
TW (1) TWI390624B (zh)
WO (1) WO2005065186A2 (zh)

Families Citing this family (457)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004001817A1 (en) * 2002-06-21 2003-12-31 Applied Materials, Inc. Transfer chamber for vacuum processing system
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20060054280A1 (en) * 2004-02-23 2006-03-16 Jang Geun-Ha Apparatus of manufacturing display substrate and showerhead assembly equipped therein
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7449220B2 (en) * 2004-04-30 2008-11-11 Oc Oerlikon Blazers Ag Method for manufacturing a plate-shaped workpiece
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
JP2006179770A (ja) * 2004-12-24 2006-07-06 Watanabe Shoko:Kk 基板表面処理装置
DE102005003984A1 (de) * 2005-01-28 2006-08-03 Aixtron Ag Gaseinlassorgan für einen CVD-Reaktor
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
EP1860680A1 (en) * 2006-05-22 2007-11-28 New Power Plasma Co., Ltd. Inductively coupled plasma reactor
KR100744639B1 (ko) * 2006-07-31 2007-08-07 주식회사 월덱스 실리콘 단일재질의 플라즈마 챔버 캐소드 및 아웃링
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP4826483B2 (ja) * 2007-01-19 2011-11-30 東京エレクトロン株式会社 プラズマ処理装置
EP1970468B1 (de) * 2007-03-05 2009-07-15 Applied Materials, Inc. Beschichtungsanlage und Gasleitungssystem
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
CN101809717B (zh) 2007-09-25 2012-10-10 朗姆研究公司 用于等离子处理设备的喷头电极总成的温度控制模块
US7736441B2 (en) * 2007-10-09 2010-06-15 Lam Research Corporation Cleaning fixtures and methods of cleaning electrode assembly plenums
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
KR101508026B1 (ko) 2007-10-31 2015-04-08 램 리써치 코포레이션 컴포넌트 바디와 액체 냉각제 사이의 열 전도도를 제어하기 위해 가스 압력을 이용하는 온도 제어 모듈
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
JP5567494B2 (ja) 2007-12-19 2014-08-06 ラム リサーチ コーポレーション 半導体真空処理装置用のコンポーネント・アセンブリ、アセンブリを結合する方法、及び、半導体基板を処理する方法
KR101173645B1 (ko) 2007-12-31 2012-08-20 (주)에이디에스 가스 분사 유닛 및 이를 구비하는 박막 증착 장치
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
WO2009091713A1 (en) * 2008-01-15 2009-07-23 First Solar, Inc. System and method for depositing a material on a substrate
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
TWI494458B (zh) * 2008-03-26 2015-08-01 Gtat Corp 在化學氣相沉積反應器中用於配氣之系統和方法
EP2271587A1 (en) * 2008-03-26 2011-01-12 GT Solar Incorporated Gold-coated polysilicon reactor system and method
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
EP2321443B1 (en) * 2008-08-11 2015-07-22 Veeco Instruments Inc. Vacuum deposition sources having heated effusion orifices
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
JP5182136B2 (ja) * 2009-02-12 2013-04-10 三菱マテリアル株式会社 プラズマ処理装置用電極板構成体及びプラズマ処理装置
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
JP4786731B2 (ja) * 2009-06-12 2011-10-05 シャープ株式会社 プラズマcvd装置
JP5212275B2 (ja) * 2009-07-02 2013-06-19 三菱マテリアル株式会社 プラズマ処理装置用電極板
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
CN102473612B (zh) * 2009-08-10 2015-06-10 三菱电机株式会社 等离子体cvd装置、等离子体电极以及半导体膜的制造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
WO2011146571A2 (en) 2010-05-21 2011-11-24 Applied Materials, Inc. Tightly-fitted ceramic insulator on large-area electrode
US8529729B2 (en) 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20120135609A1 (en) * 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8545639B2 (en) 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
CN102446738A (zh) * 2011-11-29 2012-05-09 上海华力微电子有限公司 一种等离子体刻蚀装置
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9018022B2 (en) * 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9275840B2 (en) 2014-01-25 2016-03-01 Yuri Glukhoy Method for providing uniform distribution of plasma density in a plasma treatment apparatus
US9484190B2 (en) 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101698433B1 (ko) * 2015-04-30 2017-01-20 주식회사 에이씨엔 기상식각 및 세정을 위한 플라즈마 장치
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
KR102070450B1 (ko) * 2015-11-19 2020-01-28 주식회사 원익아이피에스 기판처리장치의 제어방법 및 제어장치
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR20180112794A (ko) * 2016-01-22 2018-10-12 어플라이드 머티어리얼스, 인코포레이티드 전도성 층들이 매립된 세라믹 샤워헤드
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102652258B1 (ko) * 2016-07-12 2024-03-28 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180366354A1 (en) * 2017-06-19 2018-12-20 Applied Materials, Inc. In-situ semiconductor processing chamber temperature apparatus
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US11598003B2 (en) 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US20190276932A1 (en) * 2018-03-08 2019-09-12 Shimadzu Corporation Film forming apparatus and film forming method
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
WO2019212059A1 (ja) * 2018-05-02 2019-11-07 東京エレクトロン株式会社 上部電極およびプラズマ処理装置
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JPWO2019235282A1 (ja) * 2018-06-07 2021-06-17 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) * 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) * 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112530774B (zh) * 2019-09-17 2024-04-05 中微半导体设备(上海)股份有限公司 等离子体处理设备
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7282646B2 (ja) * 2019-09-26 2023-05-29 株式会社アルバック 真空処理装置
US11564292B2 (en) 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
US11881384B2 (en) 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
CN113628949A (zh) * 2020-05-09 2021-11-09 长鑫存储技术有限公司 控温装置及其控制方法、等离子设备
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
CN112720119B (zh) * 2020-12-19 2021-11-30 华中科技大学 一种晶圆快速定位装置及方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220095677A (ko) * 2020-12-30 2022-07-07 세메스 주식회사 온도 측정 유닛을 포함하는 공정 챔버 및 온도 측정 유닛을 포함하는 기판 처리 장치
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11851758B2 (en) 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US20240124980A1 (en) * 2022-10-12 2024-04-18 Applied Materials, Inc. Bimetallic faceplate for substrate processing
CN115652284A (zh) * 2022-11-04 2023-01-31 拓荆科技股份有限公司 半导体设备喷淋头及气体输送装置

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3448352A (en) * 1966-07-26 1969-06-03 Westinghouse Electric Corp Multiple electrical contact assembly for compression bonded electrical devices
JPH01160864A (ja) * 1987-12-16 1989-06-23 Ibiden Co Ltd 炭素・黒鉛材料
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5977552A (en) * 1995-11-24 1999-11-02 Applied Materials, Inc. Boron ion sources for ion implantation apparatus
KR100267418B1 (ko) * 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
JPH10172719A (ja) * 1996-12-04 1998-06-26 Kokusai Electric Co Ltd ヒータ制御装置
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5846459A (en) * 1997-06-26 1998-12-08 Ucar Carbon Technology Corporation Method of forming a flexible graphite sheet with decreased anisotropy
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6136128A (en) * 1998-06-23 2000-10-24 Amerasia International Technology, Inc. Method of making an adhesive preform lid for electronic devices
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6280584B1 (en) * 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6206971B1 (en) * 1999-03-29 2001-03-27 Applied Materials, Inc. Integrated temperature controlled exhaust and cold trap assembly
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP2000286267A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd 熱処理方法
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6237528B1 (en) * 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6433317B1 (en) * 2000-04-07 2002-08-13 Watlow Polymer Technologies Molded assembly with heating element captured therein
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6363624B1 (en) * 2000-11-21 2002-04-02 Applied Materials, Inc. Apparatus for cleaning a semiconductor process chamber
JP2002313781A (ja) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6674054B2 (en) * 2001-04-26 2004-01-06 Phifer-Smith Corporation Method and apparatus for heating a gas-solvent solution
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
CN101809717B (zh) * 2007-09-25 2012-10-10 朗姆研究公司 用于等离子处理设备的喷头电极总成的温度控制模块
KR101508026B1 (ko) * 2007-10-31 2015-04-08 램 리써치 코포레이션 컴포넌트 바디와 액체 냉각제 사이의 열 전도도를 제어하기 위해 가스 압력을 이용하는 온도 제어 모듈
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly

Also Published As

Publication number Publication date
JP2011254097A (ja) 2011-12-15
KR20060129279A (ko) 2006-12-15
US8080107B2 (en) 2011-12-20
JP2007522647A (ja) 2007-08-09
TW200524038A (en) 2005-07-16
WO2005065186A3 (en) 2006-05-18
BRPI0417991A (pt) 2007-04-27
US20050133160A1 (en) 2005-06-23
WO2005065186A2 (en) 2005-07-21
US7645341B2 (en) 2010-01-12
US20100065214A1 (en) 2010-03-18
CN1977068A (zh) 2007-06-06
JP4870575B2 (ja) 2012-02-08
KR101345904B1 (ko) 2013-12-31
JP5497705B2 (ja) 2014-05-21

Similar Documents

Publication Publication Date Title
TWI390624B (zh) 用於電漿處理裝置之蓮蓬頭電極組合物
US7862682B2 (en) Showerhead electrode assemblies for plasma processing apparatuses
KR101280184B1 (ko) 플라즈마 프로세싱을 위해 rf 전력 및 공정 가스를 공급하는 가스 분배 부재
US10332729B2 (en) Compression member for use in showerhead electrode assembly
TWI414211B (zh) 用於電漿製程之包含噴頭電極及加熱器之裝置
JP4176848B2 (ja) 基板支持体及び処理装置
KR101519684B1 (ko) 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈