TWI414211B - 用於電漿製程之包含噴頭電極及加熱器之裝置 - Google Patents

用於電漿製程之包含噴頭電極及加熱器之裝置 Download PDF

Info

Publication number
TWI414211B
TWI414211B TW094113756A TW94113756A TWI414211B TW I414211 B TWI414211 B TW I414211B TW 094113756 A TW094113756 A TW 094113756A TW 94113756 A TW94113756 A TW 94113756A TW I414211 B TWI414211 B TW I414211B
Authority
TW
Taiwan
Prior art keywords
showerhead electrode
gas
heater
electrode
distribution member
Prior art date
Application number
TW094113756A
Other languages
English (en)
Other versions
TW200541413A (en
Inventor
Rajinder Dhindsa
Eric Lenz
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200541413A publication Critical patent/TW200541413A/zh
Application granted granted Critical
Publication of TWI414211B publication Critical patent/TWI414211B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

用於電漿製程之包含噴頭電極及加熱器之裝置
本發明係關於一種電漿製程裝置,其包含一噴頭電極及一加熱器。
藉由包含蝕刻、物理氣相沉積(PVD)、化學氣相沉積(CVD)、離子植入及灰化或抗蝕劑移除之技術將電漿製程裝置用於處理基板。最近,歸因於特徵尺寸之收縮及新材料之實施,需要改良電漿製程裝置以控制電漿製程之條件。
本發明提供一種電漿製程裝置,其包含一噴頭電極及一與該噴頭電極熱接觸之加熱器,其中該加熱器可運作以將該噴頭電極之至少一部分加熱至臨限溫度以上。此外,亦可在該裝置中提供一頂板以控制該噴頭電極之溫度或與該加熱器協作以維持該噴頭電極中之預定溫度。
在一實施例中,一噴頭電極總成包含:一經調適成安裝於真空腔室內部之噴頭電極;一附著至該噴頭電極之射頻(RF)分佈構件,其中該RF分佈構件包含一經調適成軸向延伸至該真空腔室之溫度控制頂壁之開口中的第一部分,且該RF分佈構件包含一橫向延伸經過該噴頭電極且提供RF路徑及熱路徑之第二部分;及一熱路徑構件,其附著至RF分佈構件且經調適成將真空腔室之頂壁與RF分佈構件之第二部分之間的熱路徑提供至該噴頭電極。在另一實施例中,RF分佈構件亦可包含將製程氣體供應至噴頭電極之至少一氣體通路。
在另一實施例中,一噴頭電極總成包含一經調適成安裝於真空腔室內部之噴頭電極;一附著至該噴頭電極之氣體分佈構件;一附著至該氣體分佈構件之熱路徑構件;及一附著至該熱路徑構件之加熱器,其中該加熱器經由該氣體分佈構件及該熱路徑構件將熱量傳輸至該噴頭電極。在另一實施例中,該氣體分佈構件可為一將RF功率分佈至噴頭電極之導電材料。
本發明亦提供一種控制電漿蝕刻之方法,其包含:將功率供應至電漿蝕刻腔室中之加熱器;藉由將熱量自加熱器傳導至噴頭電極而在電漿蝕刻腔室中將噴頭電極之至少一部分加熱至預定溫度;經由噴頭電極將製程氣體供應至電漿蝕刻腔室;及藉由將RF功率施加至噴頭電極並將製程氣體激發成電漿狀態而在電漿蝕刻腔室中蝕刻半導體基板,其中藉由一熱路徑構件使施加至加熱器之功率與施加至噴頭電極之功率彼此電隔離。
需要控制諸如電漿化學、離子能量、密度及分佈、電子溫度等之電漿參數來改變電漿製程結果。除了該等電漿參數控制之外,電漿腔室中限制電漿之表面溫度亦可用以控制電漿化學,且因此控制半導體基板(諸如晶圓)上之電漿製程結果。
電漿蝕刻製程(諸如氧化物蝕刻)中所使用之噴頭電極的溫度可大範圍地變化。當於單一晶圓電漿蝕刻腔室中蝕刻一系列晶圓時,已觀察到,射頻(RF)提供功率之噴頭電極之各種部分的溫度隨時間而改變,且歸因於RF提供功率之噴頭電極所產生之熱量,噴頭電極之中心部分較邊緣部分更熱。例如,噴頭電極之中心與邊緣之間的溫度差可為約100℃或更高。當電極以較高功率位準(例如,3,000至6,000瓦特)運行時,此溫度變化會更明顯,且可導致電漿蝕刻之不均勻性。因此,在生產運行期間,減小RF提供功率之噴頭電極之溫度變化可提供晶圓之更均勻的電漿蝕刻。此外,在生產運行期間維持RF提供功率之電極的最低溫度可改良光阻選擇性。
根據使用期間所產生之熱量引起的RF提供功率之噴頭電極的溫度波動,提供一加熱器以將RF提供功率之噴頭電極之中心及邊緣部分維持在所要溫度範圍內,例如,自中心至邊緣之溫度變化小於50℃、較佳小於25℃。藉由加熱RF提供功率之噴頭電極協同自諸如腔室之頂壁(頂板)之溫度控制構件冷卻,可在電漿製程裝置運作期間於RF提供功率之噴頭電極中提供所需之溫度分佈。根據一較佳實施例,噴頭電極之中心部分與邊緣部分之間的溫度差可維持於一有效於改良電漿製程之均勻性的範圍內,該電漿製程諸如在介電材料(諸如二氧化矽)中電漿蝕刻高縱橫比開口。
在一較佳實施例中,電漿製程裝置包含加熱器、溫度控制散熱片及噴頭電極,其中該噴頭電極係由RF提供功率。此實施例之電漿製程裝置允許藉由噴頭電極之主動加熱及主動冷卻來控制噴頭電極之溫度。
圖1展示根據第一實施例之電漿製程裝置100的橫截面圖,該裝置包含一加熱器及一上方噴頭電極溫度控制系統。在圖1中,電漿製程裝置100具有一位於真空腔室150中之加熱器700及一溫度控制器900。
如圖1中所說明,諸如電漿蝕刻腔室之真空腔室150中包含一上方噴頭電極200及一基板支撐件300,上方噴頭電極200與基板支撐件300經間隙400隔開,一基板在該間隙內得以處理。上方噴頭電極200包含一有孔或多孔平坦或非平坦表面以將反應性氣體分配於基板之曝露表面上。在上方噴頭電極200上方提供一氣體分佈構件500,其中氣體分佈構件500自真空腔室150外部之氣體供應550將製程氣體提供至上方噴頭電極200。氣體分佈構件500亦為導電的,且自真空腔室150外部之RF功率供應570將RF功率分佈至上方噴頭電極200。
又,如圖1所說明,加熱器700位於氣體分佈構件500之水平延伸部分的上方,其中加熱器700經由氣體分佈構件500及導熱絕緣體600將熱量提供至上方噴頭電極200,其中絕緣體600經提供於加熱器700與氣體分佈構件500之間。絕緣體600為導熱的電絕緣體,其功能在於使加熱器700與氣體分佈構件500電絕緣,而允許將來自加熱器700之熱量傳導至氣體分佈構件500。因此,經由氣體分佈構件500所傳輸之RF功率與供應至加熱器700之功率電隔離,而仍然允許加熱器700與上方噴頭電極200之間的熱傳導。
為了控制上方噴頭電極200之溫度,提供一溫度控制器900,其使用任何適當之溫度監控配置(諸如至少一溫度感應器950)以量測上方噴頭電極200的溫度(T)。溫度感應器950可包含一位於上方噴頭電極200之背側附近之光纖溫度感應元件,或溫度感應器950可熱連接至上方噴頭電極200。例如,如圖1所說明,溫度感應器950位於上方噴頭電極200之邊緣部分附近。基於上方噴頭電極200之由溫度感應器950所提供之代表溫度T的資料/訊號,溫度控制器900可用於判定上方噴頭電極200之溫度是否必須增加至預定溫度(Tp)。若T小於Tp,則溫度控制器900可運作以啟動功率供應250,該功率供應將功率提供至加熱器700,從而增加了加熱器700之溫度,該加熱器又增加了上方噴頭電極200之溫度。
可藉由交流(AC)或直流(DC)功率供應250向加熱器700提供功率,其中AC或DC功率供應250係由溫度控制器900所控制,如上文所提及。
又,如圖1中所說明,加熱器700係藉由溫度控制頂板800而得以支撐,該頂板形成腔室之真空密封上壁。頂板800電性接地且可具有流體控制裝置850,該裝置亦係由溫度控制器900所控制,且可包含一溫度冷卻器以冷卻穿過頂板800之流體。或者,在沒有流體控制裝置850之情況下,可以連續或不連續方式來冷卻頂板800。例如,可使水連續地穿過頂板800而無需使用流體控制裝置850。
若使用溫度控制器900,則可視需要調整頂板之溫度。例如,若T大於Tp,則溫度控制器900可使得流體控制裝置850使冷卻流體流過頂板800以冷卻加熱器700,然後該加熱器充當上方噴頭電極200之散熱片且因此冷卻上方噴頭電極200,如下文所論述。然而,可使通過頂板800之流體連續地循環,且可視情況升高或降低流體之溫度,及/或可基於來自溫度控制器900之指令而增加或減少流體之流動速率。
此外,如圖1中所說明,上方電絕緣體630用於使頂板800與氣體分佈構件500電絕緣。又,環繞上方噴頭電極200及氣體分佈構件500之橫向電絕緣體620、640用於使上方噴頭電極200與加熱器700電絕緣。
基板支撐件300包含一下方電極及一位於其上表面上之可選靜電夾盤(ESC),該上表面與裝置100中之上方噴頭電極200相對。因此,經受電漿製程之基板可藉由或不藉由機械地或靜電地夾持於基板支撐件300之上表面上來支撐。
在第二實施例中,裝置100可供入氣體分佈構件500而無加熱器700,其中在裝置100內氣體分佈構件500可與電漿蝕刻腔室之其它部分經RF絕緣。在此實施例中,視需要可使用絕緣體600及/或其它絕緣構件使氣體分佈構件500經RF絕緣以允許RF穿透過氣體分佈構件500。
亦在第二實施例中,氣體分佈構件500包含一板505及一軸向延伸構件508,其中軸向延伸構件508包含一RF連接以容納一電連接至RF功率供應570之電纜。因此,構件508用於將來自RF功率供應570之RF功率分佈至板505,然後經由板505與上方噴頭電極200之間的接觸點分佈至上方噴頭電極200。例如,板505可包含與上方噴頭電極200之背側相接觸之複數個環形突出物。
此外,軸向延伸構件508之功能係將來自氣體供應550之製程氣體分佈至板505與上方噴頭電極200之間的一或多個壓力室(plenum)。同樣,RF功率與製程氣體皆經由氣體分佈構件500而供應至上方噴頭電極200。藉由經由氣體分佈構件500供應RF功率,可於上方噴頭電極200上更均勻地供應RF功率,以便減小越過上方噴頭電極200之曝露表面之自中心至邊緣之溫度變化。又,藉由經由構件500供應製程氣體,可能以所要之流動速率將製程氣體輸送至腔室中之一或多個區域。
在圖3中,其說明一運作第三較佳實施例之裝置100之較佳方法。如圖3所示,該方法自將晶圓插入至支撐件300上之步驟1100開始。然後,在步驟1200中,上方噴頭電極200中之溫度感應器950量測上方噴頭電極200之溫度。
然後,在步驟1300中,溫度控制器900比較量測溫度(T)與預定溫度範圍(Tp),其中該預定溫度範圍對應於上方噴頭電極200之所要溫度。若T小於Tp,則在步驟1320中將功率提供至加熱器,以將上方噴頭電極200加熱一預定量,然後重複步驟1200以判定供應至加熱器700之功率量是否適當。若T大於Tp,則在步驟1340中使冷卻流體穿過頂板,並重複步驟1200以判定穿過頂板800之冷卻流體的量是否適當。若T大約等於Tp,則在步驟1400中處理晶圓,並在步驟1600中判定另一晶圓是否待處理之前,在步驟1500中移除晶圓。若沒有其它晶圓待處理,則在步驟1700中結束程序,但是若另一晶圓待處理,則重複該程序並在步驟1100中插入晶圓。
應注意,溫度控制器可為任何類型之資訊處理器,諸如獨立式電腦或內部邏輯開關。
又,應注意,所提供之功率及冷卻流體的量視情況而變化,此視製程及運作條件而定。例如,若T比Tp小得多,則與若T略小於Tp相比,可在步驟1320處將更多功率提供至加熱器700。
圖4說明第三實施例。在圖4中,除了第一實施例之組件外,其說明具有背襯構件220(諸如黏結至電極200之石墨板彈性體)之上方噴頭電極200,其中氣體分佈構件500附著至背襯構件220(例如,構件500可藉由螺栓或其它扣件而緊固至構件220)。例如,可提供背襯構件220以增強電極200之結構支撐,且該構件可藉由接觸螺栓225而附著至氣體分佈構件500,如下文所論述。此外,除了上文所提及之上方及橫向電絕緣體630、640之外,在軸向延伸構件508之外部橫向區域及加熱器700與頂板800之內部橫向區域上提供電絕緣的第二絕緣體650。
在第三實施例中,背襯構件220藉由彈性體黏結而較佳附著至上方噴頭電極200之背側(例如,參看共同讓渡之美國專利第6,194,322 B1號及第6,073,577號,其全文以引用之方式倂入本文中)。構件220包含氣體通路226,該等氣體通路與上方噴頭電極200中之氣體通路206對準以將氣流提供至間隙400中。頂板800形成裝置100之可移除且真空密封之頂壁且具有散熱片之功能,該散熱片與加熱器700協作以控制上方噴頭電極200之溫度。
背襯構件220較佳係由以下一材料製成:該材料與用於處理電漿製程腔室中之半導體基板之製程氣體在化學性質上相容,具有與電極材料之熱膨脹係數嚴密匹配之熱膨脹係數,及/或為導電及導熱性。可用於製造背襯構件220之較佳材料包含(但不限於)石墨及碳化矽(SiC)。
第三實施例之特徵亦在於環繞電極200之接地電極250。此外部電極構件250用於較大晶圓製程,諸如300 mm之晶圓,且外部電極構件250亦具有背襯環260及電性接地環270,該接地環位於外部電極構件250上鄰接於絕緣體600及橫向電極絕緣體640。可在共同讓渡之美國專利申請案第10/645,665號中發現此電極配置之另外細節,該案之主旨以引用之方式倂入本文中。若須要,則腔室可包含環繞間隙400之電漿限制配置,可在共同讓渡之美國專利第6,602,381 B1號及美國專利第5,534,751號中發現其細節,該等專利之全文以引用之方式倂入本文中。
此外,如係圖4之放大部分的圖5所說明,背襯構件220與氣體分佈構件500之間的接觸點520經展示為自氣體分佈構件500向上方噴頭電極200及背襯構件220突起之突起部分。圖5之橫截面中將接觸點520說明為自氣體分佈構件500突起之同心環。然而,接觸點520可為連續或不連續環、間隔之個別點或能夠在其中傳輸RF功率並傳導熱量之任何其它形狀的構件。若使用連續接觸點環,則可於該等環中提供通道,以允許形成於該等環與噴頭電極之背側之間的壓力室之間的氣體連通。另一方面,若無需交叉連通,則可省去該等通道,且因此接觸點環之一側上的氣體意欲與該等環之另一側上的氣體隔離。例如,如圖4所說明,在氣體分佈構件500與上方噴頭電極200之間提供三個同心環。
氣體分佈構件500之每一接觸點520視所要之RF量及導熱性而可具有一接觸面積、以及自氣體分佈構件500將氣體供應至上方噴頭電極200所要之面積。例如,如圖5所說明,氣體分佈構件500與背襯構件220之間的壓力室允許其間之氣體通路,而接觸點520允許RF及導熱性。
較佳地,由氣體分佈構件500與上方噴頭電極200之間的接觸點520所提供之接觸面積大約為氣體分佈構件500之總表面積的0.1%至99.9%,例如1%至5%、5%至15%、15%至30%、30%至45%、45%至60%、60%至75%、75%至90%或90%至99.9%。
在例示性實施例中,接觸點520經提供為四個整體形成之連續環,每一環之寬度為0.5英吋。在此實施例中,在具有約12.2"之外徑的氣體分佈構件500上,第一環具有約2.5"之內徑及3"之外徑,第二環具有約5"之內徑及5.5"之外徑,第三環具有約8"之內徑及8.5"之外徑,且第四環具有約11"之內徑及11.5"之外徑,其中上方噴頭電極200具有大約相同於氣體分佈構件500之直徑的直徑。在此實施例中,接觸面積為氣體分佈構件500之總面積的15%至20%。
此外,上方噴頭電極200可具有任何所要尺寸或組態之少數氣體出口或許多氣體出口,此視反應器及/或其中進行之製程而定,其中間隙400可為任何所要之間距,例如,1"至10"、2"至5"或3"至6"。例如,若間隙較大(例如,約6 cm或更大),則可在上方噴頭電極200之中心處提供僅僅少數氣體出口,而在氣體分佈構件500與上方噴頭電極200之間提供高的接觸面積,例如90%以上(諸如約99%)。
此外,亦說明接觸螺栓225,其中接觸螺栓225將上方噴頭電極200及背襯構件220緊固至氣體分佈構件500,其中氣體分佈構件500支撐背襯構件220及上方噴頭電極200。例如,可將穿過構件500之接觸螺栓225擰至構件220中之螺紋孔中。
除了使用加熱器700、頂板800、溫度感應器950、功率供應及溫度控制器900之外,亦可藉由控制加熱器700與頂板800之間的溫度傳導來進一步控制電極200之溫度。
例如,如圖5中所說明,加熱器700可包含形成熱阻流(thermal choke)750之突出物,或裝置100可包含與加熱器700隔開之熱阻流750,該等熱阻流較佳為阻流環。熱阻流750以任一方式抵抗熱量流動,並抑制加熱器700與頂板800之間的熱傳導,其中為了控制熱量流動,可調整熱阻流750之尺寸及材料。例如,若需要較少之熱量流動,則熱阻流750可較窄或可由導熱較小之材料製成。
較佳地,熱阻流750經尺寸化以控制導熱性,其中熱阻流750與加熱器700之間的接觸面積可在加熱器面積之1%至100%的範圍內,例如,1%至5%、5%至15%、15%至30%、30%至45%、45%至60%、60%至75%、75%至90%或90%至100%。
在一例示性實施例中,熱阻流750經提供為三個離散的連續環,每一環之寬度為1英吋。在此實施例中,在具有3"之內徑及16.7"之外徑的加熱器700上,第一環具有3"之內徑及4"之外徑,第二環具有10.5"之內徑及11.5"之外徑,且第三環具有約15.6"之內徑及16.6"之外徑。在此實施例中,熱阻流750與加熱器700之間的接觸面積在加熱器700之總面積的20%至25%範圍內。
熱阻流750可由任何材料製成,但較佳係由具有較用於加熱器700及/或頂板800之材料之導熱性相同或較低的導熱性之材料製成。例如,熱阻流750可由鋁或不銹鋼製成,但是在加熱器700及頂板800係由鋁或鋁合金製成之情況下,該等熱阻流較佳係由具有較低導熱性之不銹鋼製成。
又,加熱器700可藉由扣件而附著至頂板800,在熱阻流750與加熱器700為整體之情況下,該等扣件可延伸穿過頂板800中之過大尺寸的開口(未圖示),並延伸至熱阻流750之表面之螺紋開口中。在熱阻流750為來自加熱器700之分離件之情況下,如上文所指示,熱阻流750可附著至頂板800,且可將穿過熱阻流750中之開口的額外螺栓擰至加熱器700中之螺紋開口中。
在附著螺栓未密封於頂板外部之情況下,可將附著至熱阻流、加熱器及噴頭總成之附著點限制至真空密封區域。例如,如圖5所說明,可藉由O形環95於加熱器700與頂板800之間提供此等真空密封區域。O形環亦可位於各種組件之間。例如,O形環95可用以在頂板800與加熱器700之間、在加熱器700與絕緣體600之間、在絕緣體600與氣體分佈板500之間、及/或在加熱器700與電性接地環270之間創建真空密封區域。
又,如上文所提及,上方噴頭電極200較佳係由RF提供功率。然而,上方噴頭電極200(及下方電極)可經電性接地或提供功率,其中較佳藉由射頻(RF)或直流(DC)電源提供功率。較佳地,對於電漿製程而言,以兩種或兩種以上的頻率(例如,2 MHz及27 MHz)藉由RF功率對一電極提供RF功率而將另一電極接地。例如,參看共同讓渡之美國專利第6,391,787號,其全部揭示內容以引用之方式倂入本文中。
在第四實施例中,控制上方噴頭電極之溫度以使形成於圖案化光阻(PR)之開口中的條紋最小化,該等圖案化光阻用於在諸如二氧化矽之層中蝕刻特徵,例如,諸如高縱橫比接觸(HARC)之特徵。窄特徵之蝕刻中存在之一問題在於可能在上覆PR側壁上出現條紋。該等條紋為垂直延伸的不規則物,其導致粗糙的PR側壁。因為PR用作蝕刻中之光罩,所以此等不規則物經轉印至下伏層。下伏層(諸如二氧化矽)中之條紋使得難以將材料(諸如金屬)填充至蝕刻特徵中,且可由於不規則形狀之特徵而引入可靠性及效能問題。由於該等原因,因此需要提供對光阻具有選擇性、不會產生蝕刻終止且會減少條紋出現之氧化物蝕刻製程。
上方噴頭電極之溫度可維持於高溫以使PR之蝕刻速率最小化,因此使PR損耗及PR中之條紋程度最小化。例如,如圖7所示,藉由使用加熱器700並結合上方噴頭電極200,例示性上方噴頭電極之溫度自約75℃至約225℃之增加會導致聚合物之沉積及PR上之聚合物累積,意即,PR之蝕刻速率自約20/min減小至約-540/min,其中負蝕刻速率對應於聚合物之沉積及PR上之聚合物累積。
此於圖8中得以進一步說明,其描繪例示性上方噴頭電極溫度對相應PR蝕刻速率之影響。在圖8中,C4 F6 /O2 蝕刻氣體係經由圖案化PR之開口而供應給二氧化矽層中之蝕刻特徵,其中上方噴頭電極具有20℃至80℃範圍內之溫度及於其邊緣區域處所量測之噴頭溫度。在圖8中,展示到PR蝕刻速率自使用20℃之噴頭電極時的約250/min減少至負蝕刻速率(意即,聚合物累積),因為使用80℃之噴頭電極時蝕刻速率變為-1000/min。
另外,圖9a-d為說明上方電極溫度(圖9a中為70℃、圖9b中為90℃、圖9c中為105℃、且圖9d中為130℃)對蝕刻期間所引起之條紋的影響之實例的顯微照片。在圖9a中,其中圖9a與圖9b相比在此實例中為最低溫度70℃,圖9b在此實例中為第二最低溫度90℃,PR中開口圓周周圍之條紋在較高噴頭電極溫度時減少。此於圖9c及圖9d中得以進一步說明,該等圖在溫度上分別逐漸地繼續增加至105℃與130℃,且亦展示PR中開口圓周周圍之條紋因噴頭電極溫度增加而減少。
因此,具有高溫之上方噴頭電極可在電漿蝕刻期間導致形成於PR中之條紋減少。
A.加熱器加熱器700可包含任何類型之主動加熱器。較佳地,加熱器700包含一具有至少一電阻加熱元件之金屬板,其中該電阻加熱元件加熱該板以對上方噴頭電極200提供均勻熱量。雖然可使用任何加熱器組態,但是較佳的是使用電阻加熱元件並結合導熱板,其中該板較佳係由金屬材料(諸如鋁、鋁合金或其類似物)製成,該金屬材料較佳經機械加工為一與上方噴頭電極200相容之形狀。例如,加熱器700可在鑄造鋁合金板中包含至少一電阻加熱元件。
根據一較佳實施例,當溫度控制器900運作功率供應250以將功率輸送至加熱器700時,加熱器700提供熱量,其中該溫度控制器可藉由控制功率供應250來改變加熱器循環時間及加熱狀態。例如,可藉由10或12秒之脈衝循環對加熱器700提供高達約7000瓦特之功率,以越過上方噴頭電極200維持(例如)80℃至200℃之臨限溫度,例如,100℃至120℃、120℃至140℃、140℃至160℃、或160℃至180℃。
加熱器700較佳以預定熱介面特性與頂板800熱接觸(意即,該加熱器間接地接觸該頂板,或可在該加熱器與該頂板之間插入一或多個熱傳導材料)。該等熱介面特性允許加熱器700結合頂板800來控制上方噴頭電極200中之溫度。應注意,該加熱器視需要亦可用作熱路徑之一部分以自上方噴頭電極200移除熱量,其中加熱器700又可藉由頂板800來冷卻。加熱器700亦可藉由可自腔室外部延伸經過頂板800中之開口(未圖示)之扣件而附著至頂板800,以便使頂板800支撐加熱器700。
在基板之電漿製程期間,意即,當電漿於上方噴頭電極200與下方電極之間被產生時,亦可啟動加熱器700。例如,在利用相對低之施加功率位準以產生電漿之電漿製程運作期間,可啟動加熱器700以將上方噴頭電極200之溫度維持於所要溫度範圍內。在利用相對高的功率位準之其它電漿製程運作(諸如介電材料蝕刻製程)期間,上方噴頭電極200之溫度可於連續運行之間保持足夠高,使得加熱器700無需經啟動來防止上方噴頭電極200之溫度降至最小或臨限溫度以下。
電漿製程裝置中由RF提供功率之上方噴頭電極200所產生之熱量可使上方噴頭電極200之溫度改變而無需使用加熱器。加熱器700與頂板800之組合可用於較佳電漿製程裝置中,以將上方噴頭電極200之臨限溫度維持於一預定溫度以上,例如,在約80℃或以上、在約100℃或以上、或甚至是在約150℃或以上,此視電漿製程需求及由上方噴頭電極200所產生之熱量而定。較佳地,加熱器700與頂板800之組合可用以在生產運行之初始晶圓的整個處理中達成並維持上方噴頭電極200之臨限溫度,或對在生產運行期間所處理之每一晶圓維持一臨限噴頭電極溫度,其中在腔室中逐個地處理一批晶圓。
為了使加熱器700與頂板800之間的相對表面之因差異熱膨脹而造成之磨損最小化,可在加熱器700與頂板800之相對表面之間提供潤滑材料760。或者,該潤滑材料可經提供於熱阻流750與加熱器700之間的相對表面之間、及熱阻流750與頂板800之間的相對表面之間。例如,如圖5所說明,一層潤滑材料760可置放於加熱器700之上表面與頂板800之下表面之間。較佳地,該潤滑材料之位置位於由O形環密封件所界定之真空密封件的大氣側。
潤滑材料760較佳具有低水平之接觸抗性以使由相對表面間之移動所引起之磨損最小化。此外,潤滑材料760較佳具有足夠之導熱性以提供自加熱器700至頂板800及/或熱阻流750之足夠的傳熱。應注意,潤滑材料760亦可用於其它組件表面上,諸如用於加熱器700與絕緣體600之相對表面之間、及/或氣體分佈板500與上方噴頭電極200之間。
提供該等特性之較佳材料為石墨材料,諸如"GRAFOIL",其可購自UCAR Carbon Co., Inc., Cleveland, Ohio。潤滑材料760較佳為一具有較佳約0.01英吋至約0.06英吋且更佳約0.03英吋之厚度的密封墊。潤滑材料760較佳為一固持於一形成於組件表面上之環形凹槽中之環狀密封墊,該組件諸如(例如)在加熱器700與熱阻流750之間、及/或在熱阻流750與頂板800之間。
加熱器700包含一加熱元件,其可較佳為一金屬加熱元件或一層壓板(laminate),該層壓板具有一安置於聚合材料相對層之間的耐熱材料。例如,金屬加熱元件可為一位於鑄造金屬加熱器箱中之加熱元件、或一位於形成於加熱器中之通道中之加熱元件。或者,若使用層壓板加熱元件,則層壓板應能夠經受由加熱器700所達到之高達200℃之運作溫度。應注意,若使用層壓板加熱元件,則絕緣體600為可選的,因為該層壓板加熱元件中之層壓板材料可充當介電絕緣體。一可用於層壓板中之例示性聚合材料為以商標Kapton7出售之聚醯亞胺,其可購自E.I. du Pont de Nemours and Company。
加熱器700可具有以任何合適圖案配置之一或多個加熱元件,該圖案在上方噴頭電極200上提供熱均勻之加熱。例如,加熱器700可具有耐熱管線之規則或不規則圖案,諸如鋸齒形(zig-zag)、蜿蜒蛇形(serpentine)或同心形圖案。
B.頂板頂板800較佳結合加熱器700而運作以控制上方噴頭電極200之溫度,其中頂板800可用於藉由穿過加熱器700之熱路徑來冷卻加熱器700及/或上方噴頭電極200。雖然可使用任何導熱材料,但是頂板800可較佳由鋁或鋁合金製成。當安裝時,噴頭總成較佳覆蓋腔室內部之頂板800的下側。
頂板800包含一或多個流動通路,經由該等通路可使溫度控制流體循環。該溫度控制流體較佳為傳熱流體(液體或氣體),諸如(例如)去離子水。此外,對於裝置100、加熱器700及/或上方噴頭電極200而言,頂板800較佳具有電接地以及散熱片之功能,此可能係所要的。
C.溫度感應器裝置100可包含一或多個溫度感應器950(諸如熱電偶或光纖配置)以監控上方噴頭電極200之溫度。在較佳實施例中,藉由溫度控制器900監控該(該等)溫度感應器950,該溫度控制器控制自功率供應250至加熱器700之功率、及/或控制自流體控制850經由頂板800之作為監控溫度之函數的流體流動。因此,由溫度感應器950提供至溫度控制器900之資料允許溫度控制器900啟動功率供應250或流體控制850,而以連續或間歇方式將功率或冷卻流體分別供應至加熱器700及/或頂板800,以便加熱、冷卻或維持上方噴頭電極200處於或大約處於一預定溫度或溫度範圍。由於主動加熱及/或冷卻,可防止上方噴頭電極200之溫度降至預設最小溫度或臨限溫度以下或增加至預設最大溫度以上,或可將其保持處於或大約處於一預定溫度。
D.氣體分佈構件如上文所提及,裝置100亦可包含一位於上方噴頭電極200上方且與其形成流體連通之氣體分佈構件500。較佳地,藉由使用上方噴頭電極200並結合氣體分佈構件500,可處理經輸送至基板上方之一或多個氣體分佈區域之製程氣體。此外,氣體分佈構件500可用於將氣體分佈至上方噴頭電極200之背側而無需阻礙以控制氣流。參看(例如)共同讓渡之美國專利第6,508,913號,其揭示了一用於處理半導體基板之氣體分佈系統,該半導體基板包含用於將混合氣體輸送至腔室中之若干區域的複數個氣體供應及氣體供應管線,且該專利之全文以引用之方式倂入本文中。
圖10中說明氣體分佈構件500之一較佳實施例,其中氣體分佈構件500包含一徑向或橫向延伸之圓形金屬板505及一軸向延伸之圓柱轂(hub)508,其中兩者較佳係由鋁製成且在接觸區域170處同軸地對準,使得經提供至軸向延伸轂508之氣體可穿過金屬板505至噴頭電極200之背側處之一或多個壓力室。轂508及板505可由單件材料形成、或可由黏結或機械地緊固於一起之多件材料形成。如圖4所說明,軸向延伸轂508及金屬板505可為單件材料。或者,板505可包括黏結或機械地緊固於一起之兩個重疊板,例如,另一金屬板106可附著至板505之下表面,其間之氣體通道用於經由平板106中之出口而將製程氣體供應至金屬板106與電極200之間的一壓力室或多個壓力室中,如圖4中所說明。或者,如圖1及圖2中所說明,軸向延伸轂508及獨立金屬板505可包括氣體分佈板500。
又,如圖2及圖5中所說明,氣體分佈構件500可用於自RF功率供應570將RF功率輸送至上方噴頭電極200,例如,可藉由一附著至轂508上之RF輸入連接之電纜供應來自RF產生器之RF功率,使得可經由軸向延伸轂508、金屬板505並越過上方噴頭電極200供應RF功率。
在一較佳實施例中,金屬板505包含穿過其主體之交叉孔,以形成環形分佈管道151、與管道151形成流體連通之徑向延伸氣體通路160及與通路160形成流體連通之軸向延伸的氣體出口115、122、125。例如,參看圖10及圖11。同樣,如圖10所說明,軸向延伸轂508亦較佳穿過其主體鑽孔以形成一或多個軸向延伸之氣體饋入110、120。藉由使用氣體饋入110、120、管道151、氣體通路160及出口115、122、125,氣體分佈構件500可將氣體分佈提供至上方噴頭電極200之背側處之一或多個壓力室,其中氣體通路160經由管道151而連接至軸向延伸轂508中之一或多個氣體饋入110、120,如圖10所說明。因此,不同製程氣體化學及/或流動速率可應用於被處理之基板上的一或多個區域。
在一實施例中,可無需使用阻礙而完成氣流之分佈,例如,裝置100可含有控制點128以控制氣體自氣體供應550至出口115、122、125之流動。該等控制點128較佳為壓縮板(constrictor plate),其可控制流經控制點128之氣體量,且因此控制流經出口115、122、125之氣體量,如圖10所說明。
較佳地,氣體分佈構件500包含與上方噴頭電極200接觸之一或多個氣體密封件或障壁,以引導氣體經由氣體通路至上方噴頭電極200之背側處之一或多個壓力室。例如,如圖10所說明,位於金屬板505之下側與上方噴頭電極200之背側之間的O形環障壁180可用於在金屬板505與上方噴頭電極200之間建立壓力室,例如,中心壓力室190及外部壓力室195。
氣體供應550可將一或多種個別氣體或氣體混合物提供至噴頭電極200之背側處之各自壓力室。例如,內部及外部壓力室可供應有不同流動速率之相同製程氣體及/或不同氣體或氣體混合物,以在半導體基板之製程期間於間隙400中達成所要之製程氣體分佈。
E.絕緣體裝置亦可包含一絕緣體600,其較佳為導熱但電絕緣的,且其更佳為陶瓷,諸如氮化鋁或氮化硼。此絕緣體600用於輔助使施加至上方噴頭電極200之RF功率與其它電源及與其它電源相關聯之其它導電部件(諸如加熱器700的導電部件)隔離。因此,絕緣體600可允許將加熱器700電隔離但是與上方噴頭電極200熱接觸地置放,使得可藉由減小加熱器之AC或DC功率與上方噴頭電極200之RF功率之間的電干擾來加熱上方噴頭電極200。
絕緣體600較佳經尺寸化以大體上填充氣體分佈構件500與加熱器700之間的區域,但是該絕緣體亦可經成型以包含一使氣體分佈構件500之外部邊緣區域電絕緣之第二部分620。然而,絕緣體600最佳經成型以使加熱器700及其它導電部件(諸如頂板800)與施加至上方噴頭電極200及其相關聯之導電RF供應路徑(諸如氣體分佈構件500)之RF功率電絕緣。
此外,絕緣體較佳經尺寸化以對預定功率位準提供預定水平之電絕緣。例如,由Lam Research Corporation(本申請案之受讓人)所製造之2300 ExelanT M 電漿腔室中所提供之絕緣體層600可經尺寸化至0.2至1.0英吋之間的厚度,更佳為0.3至0.8英吋之間的厚度,例如,0.5至約0.75英吋之間的厚度。
F.子總成(subassembly)為了在結構上支撐裝置100之組件,採用機械扣件來將該等組件相對於彼此而固持於適當之位置。金屬螺栓較佳地用作機械螺栓,其中該等螺栓用以將每一組件附著於裝置100內。較佳地,使用兩個獨立子總成來簡化裝置100之總成,以及便於組件在裝置100內之維護及替換。
為了形成第一子總成,上方噴頭電極200藉由螺栓而附著至氣體分佈構件500,該等螺栓穿過氣體分佈構件500至噴頭電極200之背側中的螺紋開口或螺紋插入物中,該氣體分佈構件又藉由螺栓而附著至絕緣體600,該等螺栓穿過絕緣體600至氣體分佈構件500之背側中的螺紋開口或螺紋插入物中。為了形成第二子總成,熱阻流750藉由螺栓而栓接至頂板800,該等螺栓穿過頂板800至熱阻流750之背側中之螺紋開口或螺紋插入物中,且頂板800藉由螺栓而栓接至加熱器700,該等螺栓係至加熱器700之背側中之螺紋開口或螺紋插入物中。然後第一子總成可藉由螺栓而附著至第二子總成,該等螺栓穿過頂板800及加熱器700至絕緣體600之背側中之螺紋開口或螺紋插入物中。通常,第二子總成較第一子總成將意欲使用較長的時期,意即,可替換該第一子總成而該第二子總成仍然位於裝置中。
此外,如上文所提及,較佳地於裝置100之各種組件的相對表面之間的真空可密封區域中提供潤滑材料以使磨損最小化。
較佳地,如圖12所說明,第一子總成1000包含將上方噴頭電極200緊固至氣體分佈構件500中之螺栓225、及用於將氣體分佈構件500緊固至絕緣體600中之螺栓930。此外,第二子總成1100較佳包含將頂板800緊固至熱阻流750之螺栓940、及將頂板800緊固至加熱器700之螺栓910。或者,在將熱阻流750栓接至頂板800之前,熱阻流750可藉由螺栓950而緊固至加熱器700。
在一較佳實施例中,如圖12所說明,子總成1000、1100之部件包含位於該等部件之下表面之階梯式開口中的扣件900,以允許螺栓自上覆部件穿過對準孔且擰進扣件中。此等扣件之細節可經提供於共同讓渡之美國專利申請案第10/623,540號,該案之整個主旨以引用之方式倂入本文中。
雖然本發明已藉由參考其特定實施例而得以詳細描述,但是熟悉此項技術者將顯而易見,在不脫離所附申請專利範圍之範疇的情況下,可做出各種變化及修改且可採用等價物。
95...O形環
100...電漿製程裝置
106...金屬板
110...氣體饋入
115...氣體出口
120...氣體饋入
122...氣體出口
125...氣體出口
128...控制點
150...真空腔室
151...管道
160...氣體通路
170...接觸區域
180...O形環障壁
190...中心壓力室
195...外部壓力室
200...上方噴頭電極
206...氣體通路
220...背襯構件
225...接觸螺栓
226...氣體通路
250...功率供應
260...背襯環
270...電性接地環
300...基板支撐件
400...間隙
500...氣體分佈構件
505...板/金屬板
508...軸向延伸構件/轂
520...接觸點
550...氣體供應
570...RF功率供應
600...導熱絕緣體
620...橫向電絕緣體
630...上方電絕緣體
640...橫向電絕緣體
650...第二絕緣體
700...加熱器
750...熱阻流
760...潤滑材料
800...頂板
850...流體控制裝置
900...溫度控制器/扣件
910...螺栓
930...螺栓
940...螺栓
950...溫度感應器/螺栓
1000...第一子總成
1100...第二子總成
圖1、2、4及5說明噴頭電極總成之較佳實施例。
圖3說明一運作噴頭電極總成之較佳方法。
圖6說明運作較佳噴頭電極實施例時之溫度。
圖7說明噴頭電極溫度對例示性光阻蝕刻速率之影響。
圖8說明使用C4 F6 /O2 蝕刻氣體時噴頭電極溫度對例示性光阻蝕刻速率之影響。
圖9a-d為以圖案化光阻之80,000倍放大率所拍攝之顯微照片。
圖10及11說明氣體分佈構件之較佳實施例。
圖12說明子總成附著構件(subassembly attachment)之較佳實施例。
100...電漿製程裝置
150...真空腔室
200...上方噴頭電極
250...功率供應
300...基板支撐件
400...間隙
500...氣體分佈構件
550...氣體供應
570...RF功率供應
600...導熱絕緣體
620...橫向電絕緣體
630...上方電絕緣體
640...橫向電絕緣體
700...加熱器
800...頂板
850...流體控制裝置
900...溫度控制器/扣件
950...溫度感應器/螺栓

Claims (27)

  1. 一種噴頭電極總成,其包括:一噴頭電極,其經調適成安裝於一真空腔室之內部,且將製程氣體供應至該噴頭電極與一基板支撐件之間的一其中產生電漿之間隙中;一包含一軸向延伸之圓柱轂(hub)及一橫向延伸之金屬板之氣體分佈構件,其附接至該噴頭電極,該氣體分佈構件包含一第一氣體通路,其將製程氣體供應至在該噴頭電極與該金屬板之間的一中心壓力室,及一第二氣體通路,其將製程氣體供應至在該噴頭電極與該金屬板之間的一外部壓力室;一熱路徑構件,其包含一環繞該轂之電絕緣材料板且附接至該氣體分佈構件;及一加熱器,其包含一環繞該轂之熱導材料板且附接至該熱路徑構件;其中該加熱器經由一熱路徑將熱量傳輸至該噴頭電極,該熱路徑包括該氣體分佈構件及該熱路徑構件。
  2. 如請求項1之噴頭電極總成,其中該熱路徑構件包括一熱傳導電絕緣材料之環形板。
  3. 如請求項1之噴頭電極總成,其中該熱路徑構件包括一氮化物材料。
  4. 如請求項1之噴頭電極總成,其中該熱路徑構件包括氮化鋁或氮化硼。
  5. 如請求項1之噴頭電極總成,其進一步包括一環繞該噴頭 電極之一環形接地電極,該接地電極包含一朝向該間隙之曝露表面,且一電絕緣體位於該接地電極之一內部周邊與該噴頭電極之一外部周邊之間。
  6. 如請求項1之噴頭電極總成,其進一步包括:位於該加熱器之一上表面上之熱阻流;及/或位於該氣體分佈構件之下表面上及該噴頭電極上方之接觸點;及/或位於該噴頭電極之上表面與該氣體分佈構件之下表面之間的背襯構件,其中該背襯構件之下表面係與該噴頭電極之上表面接觸;及/或在該氣體分佈構件內之徑向延伸通路、環形分佈管道、出口及氣體饋入。
  7. 如請求項1之噴頭電極總成,其中若干接觸螺栓機械性地將該噴頭電極總成之若干部分鎖緊。
  8. 一種包括如請求項1之噴頭電極總成之真空腔室,其中該氣體分佈構件之轂延伸至該真空腔室之一溫度控制頂壁之一開口中,且該氣體分佈構件之橫向延伸金屬板完全延伸越過該噴頭電極。
  9. 如請求項8之包括該噴頭電極總成之真空腔室,其中該真空腔室之該溫度控制頂壁藉由穿過該加熱器、該熱路徑構件、該氣體分佈構件及該噴頭電極之另一熱路徑而自該噴頭電極吸取熱量。
  10. 如請求項8之包括該噴頭電極總成之真空腔室,其中該噴頭電極為一射頻(RF)提供功率之電極,且該氣體分佈構件 自該氣體分佈構件之轂將RF功率分佈至該氣體分佈構件之橫向延伸金屬板,且然後分佈至該噴頭電極。
  11. 如請求項10之包括該噴頭電極總成之真空腔室,其中該氣體分佈構件包含與該噴頭電極之一背側接觸的突起部分,且該突起部分形成在該氣體分佈構件與該噴頭電極之背側之間的中心壓力室及外部壓力室。
  12. 如請求項11之真空腔室,其中該氣體分佈構件與該噴頭電極之該背側上的15%至20%之截面積接觸。
  13. 一種在一包含如請求項1之噴頭電極總成的電漿蝕刻腔室中控制電漿蝕刻之方法,其包括:將功率施加至該電漿蝕刻腔室中之加熱器;藉由將熱量自該加熱器傳導至該噴頭電極而在該電漿蝕刻腔室中將該噴頭電極之至少一部分加熱至一預定溫度;經由該噴頭電極將製程氣體供應至該電漿蝕刻腔室,該製程氣體流入該噴頭電極與一底部電極之間的一間隙中,一半導體基板經支撐於該底部電極上;及藉由將RF功率施加至該噴頭電極且將該製程氣體激發成一電漿狀態而在該電漿蝕刻腔室中蝕刻一半導體基板,其中施加至該加熱器的該功率與施加至該噴頭電極的該功率係藉由一熱路徑構件而彼此電隔離。
  14. 如請求項13之方法,其中該噴頭電極之該加熱包括將該噴頭電極之至少一部分加熱並維持於至少80℃之溫度下。
  15. 如請求項13之方法,其中該噴頭電極之該加熱包括將該 噴頭電極之至少一部分加熱並維持於至少100℃之溫度下。
  16. 如請求項13之方法,其中該噴頭電極之該加熱包括將該噴頭電極之至少一部分加熱並維持於至少150℃之溫度下。
  17. 如請求項13之方法,其中該噴頭電極之該加熱係在該半導體基板之該蝕刻之前發生。
  18. 如請求項13之方法,其中該蝕刻包括在該半導體基板上之一氧化物層中蝕刻開口,該等開口係由一圖案化光阻所界定。
  19. 如請求項18之方法,其中該製程氣體包括一碳氟化合物及/或氫氟碳化合物氣體,且該噴頭電極之該加熱藉由控制該製程氣體中之氟自由基密度而減少該光阻上之條紋。
  20. 如請求項19之方法,其中該蝕刻於一二氧化矽層中形成高縱橫比接觸開口。
  21. 如請求項13之方法,其進一步包括藉由將熱量沿著一熱路徑傳導而冷卻該噴頭電極,其中該熱路徑係從該噴頭電極延伸至該電漿蝕刻腔室的一氣體分佈構件、該熱路徑構件、該加熱器、一或多個熱阻流及一頂壁。
  22. 如請求項21之方法,其中該施加功率至該噴頭電極包括自該電漿蝕刻腔室外部之一RF源經由該氣體分佈構件且經由該氣體分佈構件與該噴頭電極之間的多個接觸點而將RF功率供應至一位於該氣體分佈構件上之RF輸入。
  23. 如請求項21之方法,其中該供應氣體包括自該氣體分佈構件將氣體供應至該噴頭電極之該背側處的一或多個壓力室。
  24. 如請求項13之方法,其中該供應氣體包括將一第一氣體混合物供應至該基板與該噴頭電極之間的該間隙中之一中心區域,且將一第二氣體混合物供應至該間隙中之一環繞該中心區域的環形區域,該第二氣體混合物係不同於該第一氣體混合物,或該第二氣體混合物係與該第一氣體混合物相同但以一不同於該第一氣體混合物之流動速率的流動速率來供應。
  25. 如請求項13之方法,其包括在該電漿蝕刻腔室中逐個地蝕刻一批晶圓,在該批晶圓之製程期間,該噴頭電極係維持於一大體上均勻之溫度下。
  26. 如請求項13之方法,其中該噴頭電極之該加熱包括加熱該噴頭電極之一中心部分及一邊緣部分,使得該噴頭電極之該中心部分與該邊緣部分之間的溫度差小於50℃。
  27. 如請求項13之方法,其中該噴頭電極之該加熱包括加熱該噴頭電極之一中心部分及一邊緣部分,使得該噴頭電極之該中心部分與該邊緣部分之間的溫度差小於25℃。
TW094113756A 2004-04-30 2005-04-28 用於電漿製程之包含噴頭電極及加熱器之裝置 TWI414211B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/835,400 US7712434B2 (en) 2004-04-30 2004-04-30 Apparatus including showerhead electrode and heater for plasma processing

Publications (2)

Publication Number Publication Date
TW200541413A TW200541413A (en) 2005-12-16
TWI414211B true TWI414211B (zh) 2013-11-01

Family

ID=35185882

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094113756A TWI414211B (zh) 2004-04-30 2005-04-28 用於電漿製程之包含噴頭電極及加熱器之裝置

Country Status (6)

Country Link
US (2) US7712434B2 (zh)
JP (1) JP4955539B2 (zh)
KR (1) KR101166740B1 (zh)
CN (1) CN1950545B (zh)
TW (1) TWI414211B (zh)
WO (1) WO2005111268A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI828326B (zh) * 2021-12-31 2024-01-01 大陸商中微半導體設備(上海)股份有限公司 測溫結構、上電極組件及電漿處理裝置

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
DE102006020291A1 (de) * 2006-04-27 2007-10-31 Ipt Ionen- Und Plasmatechnik Gmbh Plasmaquelle
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US8171877B2 (en) * 2007-03-14 2012-05-08 Lam Research Corporation Backside mounted electrode carriers and assemblies incorporating the same
WO2008114363A1 (ja) * 2007-03-16 2008-09-25 Fujitsu Microelectronics Limited 半導体装置の製造装置、および半導体装置の製造方法
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
JP4900956B2 (ja) * 2007-06-25 2012-03-21 東京エレクトロン株式会社 ガス供給機構及び基板処理装置
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2009058376A2 (en) 2007-10-31 2009-05-07 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
SG187387A1 (en) 2007-12-19 2013-02-28 Lam Res Corp Film adhesive for semiconductor vacuum processing apparatus
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
KR101173645B1 (ko) * 2007-12-31 2012-08-20 (주)에이디에스 가스 분사 유닛 및 이를 구비하는 박막 증착 장치
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8276604B2 (en) * 2008-06-30 2012-10-02 Lam Research Corporation Peripherally engaging electrode carriers and assemblies incorporating the same
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
KR101588482B1 (ko) * 2008-07-07 2016-01-25 램 리써치 코포레이션 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
CN102273329B (zh) 2008-12-10 2014-09-10 朗姆研究公司 清洁硅电极的沉浸式氧化和蚀刻方法
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR200478069Y1 (ko) 2009-09-10 2015-08-24 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US8444456B2 (en) 2010-11-02 2013-05-21 Lam Research Corporation Electrode securing platens and electrode polishing assemblies incorporating the same
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
WO2012125275A2 (en) * 2011-03-11 2012-09-20 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
JP5712741B2 (ja) 2011-03-31 2015-05-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US9245719B2 (en) 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
GB2489761B (en) * 2011-09-07 2015-03-04 Europlasma Nv Surface coatings
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078434A1 (en) 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
CN102592986B (zh) * 2012-03-09 2017-03-15 上海集成电路研发中心有限公司 通孔形成方法
US9018022B2 (en) * 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
CN104813440A (zh) * 2012-09-26 2015-07-29 应用材料公司 于基板处理系统中控制温度
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
JP2013110440A (ja) * 2013-03-11 2013-06-06 Tokyo Electron Ltd 電極ユニット及び基板処理装置
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6169040B2 (ja) * 2014-05-12 2017-07-26 東京エレクトロン株式会社 プラズマ処理装置の上部電極構造、プラズマ処理装置、及びプラズマ処理装置の運用方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10141166B2 (en) * 2014-08-15 2018-11-27 Applied Materials, Inc. Method of real time in-situ chamber condition monitoring using sensors and RF communication
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10008404B2 (en) * 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9960009B2 (en) 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106922071B (zh) * 2015-12-25 2019-10-01 中微半导体设备(上海)股份有限公司 一种用于等离子反应装置的喷淋头加热冷却装置及方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10249526B2 (en) 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
CN108293292B (zh) * 2016-03-30 2020-08-18 东京毅力科创株式会社 等离子电极以及等离子处理装置
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102449621B1 (ko) * 2017-08-22 2022-09-30 삼성전자주식회사 쉬라우드 유닛 및 이를 포함하는 기판 처리 장치
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11469084B2 (en) * 2017-09-05 2022-10-11 Lam Research Corporation High temperature RF connection with integral thermal choke
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
DE102017124456A1 (de) * 2017-10-19 2019-04-25 Heraeus Noblelight Gmbh Beheizbarer Gasinjektor
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN108079439A (zh) * 2017-12-29 2018-05-29 重庆半岛医疗科技有限公司 一种等离子治疗装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP2021521648A (ja) * 2018-04-17 2021-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 加熱されるセラミック面板
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
WO2019212059A1 (ja) * 2018-05-02 2019-11-07 東京エレクトロン株式会社 上部電極およびプラズマ処理装置
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
JP6715894B2 (ja) * 2018-08-07 2020-07-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102135110B1 (ko) * 2018-11-30 2020-07-17 세메스 주식회사 기판 처리 장치 및 가스 분산판 온도 제어 방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP2022536293A (ja) * 2019-06-07 2022-08-15 ラム リサーチ コーポレーション マルチステーション半導体処理における独立して調整可能な流路コンダクタンス
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US20210032753A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Methods and apparatus for dual channel showerheads
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6894482B2 (ja) * 2019-09-12 2021-06-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111001503B (zh) * 2019-12-04 2021-07-09 拓荆科技股份有限公司 加热装置及温度控制喷淋组件
JP2023504829A (ja) * 2019-12-05 2023-02-07 アプライド マテリアルズ インコーポレイテッド 堆積チャンバ用のガス分配セラミックヒータ
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
JP7422531B2 (ja) * 2019-12-17 2024-01-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN113628949A (zh) * 2020-05-09 2021-11-09 长鑫存储技术有限公司 控温装置及其控制方法、等离子设备
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021257773A1 (en) * 2020-06-17 2021-12-23 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114256046B (zh) * 2020-09-22 2024-07-05 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) * 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20240199451A1 (en) * 2021-04-28 2024-06-20 The Board Of Trustees Of The Leland Stanford Junior University Apparatus for Treating Water using a Plasma Source that is Protected from Water
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023140941A1 (en) * 2022-01-24 2023-07-27 Lam Research Corporation Active temperature control of showerheads for high temperature processes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6460482B1 (en) * 2000-01-20 2002-10-08 Sumitomo Electric Industries, Ltd. Gas shower unit for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus
US20030205202A1 (en) * 1998-01-05 2003-11-06 Kokusai Electric Co., Ltd. Plasma cvd device

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
KR940011708B1 (ko) * 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
JP3086362B2 (ja) * 1993-05-19 2000-09-11 東京エレクトロン株式会社 プラズマ処理装置
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5711531A (en) * 1993-10-20 1998-01-27 Quantic Industries, Inc. Electrical initiator seal
JP3146112B2 (ja) 1993-12-24 2001-03-12 シャープ株式会社 プラズマcvd装置
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3427534B2 (ja) * 1995-01-11 2003-07-22 ソニー株式会社 接続孔の形成方法
DE69629412T2 (de) * 1995-04-20 2004-06-24 Ebara Corp. Anlage zur Dampfabscheidung von Dünnschichten
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5641735A (en) 1995-06-06 1997-06-24 Chevron Chemical Company Bis(thio)ethylene ashless wear inhibitors and lubricating oils
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
KR100197649B1 (ko) * 1995-09-29 1999-06-15 김영환 박막 증착장치
JPH09209155A (ja) * 1996-01-30 1997-08-12 Kokusai Electric Co Ltd プラズマ処理装置
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
JP3598717B2 (ja) * 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6630772B1 (en) 1998-09-21 2003-10-07 Agere Systems Inc. Device comprising carbon nanotube field emitter structure and process for forming device
US6335292B1 (en) 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
JP2000306889A (ja) * 1999-04-21 2000-11-02 Hitachi Ltd ドライエッチング装置
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6432318B1 (en) 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
HUP0101103A2 (hu) * 2000-03-17 2001-11-28 Sony Corporation Eljárás és berendezés száraz tartalék akkumulátor gyártására
TWI228747B (en) * 2000-05-17 2005-03-01 Tokyo Electron Ltd Processing apparatus and the maintenance method, assembling mechanism and method of processing apparatus parts, and lock mechanism and the lock method
JP2002064064A (ja) * 2000-08-21 2002-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
AU2002212963A1 (en) 2000-10-25 2002-05-06 Tokyo Electron Limited Method of and structure for controlling electrode temperature
KR101004199B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US6602381B1 (en) 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6962732B2 (en) * 2001-08-23 2005-11-08 Applied Materials, Inc. Process for controlling thin film uniformity and products produced thereby
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
JP4082720B2 (ja) * 2001-09-10 2008-04-30 キヤノンアネルバ株式会社 基板表面処理装置
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP3982678B2 (ja) * 2002-02-27 2007-09-26 東京エレクトロン株式会社 プラズマ処理装置
JP2003264229A (ja) * 2002-03-08 2003-09-19 Sony Corp 半導体装置の製造方法
US6883733B1 (en) * 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
AU2003233655A1 (en) * 2002-05-23 2003-12-12 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
WO2004003988A1 (ja) * 2002-06-27 2004-01-08 Tokyo Electron Limited プラズマ処理方法
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030205202A1 (en) * 1998-01-05 2003-11-06 Kokusai Electric Co., Ltd. Plasma cvd device
US6460482B1 (en) * 2000-01-20 2002-10-08 Sumitomo Electric Industries, Ltd. Gas shower unit for semiconductor manufacturing apparatus and semiconductor manufacturing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI828326B (zh) * 2021-12-31 2024-01-01 大陸商中微半導體設備(上海)股份有限公司 測溫結構、上電極組件及電漿處理裝置

Also Published As

Publication number Publication date
WO2005111268A2 (en) 2005-11-24
WO2005111268A3 (en) 2006-03-23
JP4955539B2 (ja) 2012-06-20
KR101166740B1 (ko) 2012-07-27
CN1950545A (zh) 2007-04-18
US20100151687A1 (en) 2010-06-17
TW200541413A (en) 2005-12-16
US20050241765A1 (en) 2005-11-03
US8846539B2 (en) 2014-09-30
KR20070015599A (ko) 2007-02-05
CN1950545B (zh) 2015-11-25
JP2007535817A (ja) 2007-12-06
US7712434B2 (en) 2010-05-11

Similar Documents

Publication Publication Date Title
TWI414211B (zh) 用於電漿製程之包含噴頭電極及加熱器之裝置
TWI406599B (zh) 用於電漿製程之包含供應製程氣體及射頻(rf)功率之氣體分布構件之裝置
JP7393501B2 (ja) 前駆体の流れを改善する半導体処理チャンバ
US7645341B2 (en) Showerhead electrode assembly for plasma processing apparatuses
KR101541202B1 (ko) 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US8679252B2 (en) Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US20150024582A1 (en) Method of making a gas distribution member for a plasma processing chamber

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees