KR20070015599A - 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치 - Google Patents

플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치 Download PDF

Info

Publication number
KR20070015599A
KR20070015599A KR1020067025017A KR20067025017A KR20070015599A KR 20070015599 A KR20070015599 A KR 20070015599A KR 1020067025017 A KR1020067025017 A KR 1020067025017A KR 20067025017 A KR20067025017 A KR 20067025017A KR 20070015599 A KR20070015599 A KR 20070015599A
Authority
KR
South Korea
Prior art keywords
showerhead electrode
heater
gas
electrode
distribution member
Prior art date
Application number
KR1020067025017A
Other languages
English (en)
Other versions
KR101166740B1 (ko
Inventor
라진더 딘드사
에릭 렌츠
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20070015599A publication Critical patent/KR20070015599A/ko
Application granted granted Critical
Publication of KR101166740B1 publication Critical patent/KR101166740B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 프로세싱 장치 (100) 는, 샤워헤드 전극 (200) 과 열 접촉하는 가열기 (700), 및 가열기 (700) 와 열 접촉하는 온도 제어된 최상부 플레이트 (800) 를 포함하여 반도체 기판 프로세싱 동안에 샤워헤드 전극 (200) 의 원해진 온도를 유지한다. 가스 분배 부재 (500) 는 샤워헤드 전극 (200) 에 프로세스 가스와 무선 주파수 (RF) 전력을 공급한다.
진공 챔버, 샤워헤드 전극, 열 경로 부재, 가스 분배 부재, 반도체 기판

Description

플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를 포함하는 장치{APPARATUS INCLUDING SHOWERHEAD ELECTRODE AND HEATER FOR PLASMA PROCESSING}
배경
에칭, 물리적 기상 증착 (PVD), 화학적 기상 증착 (CVD), 이온 주입, 및 애싱 또는 레지스트 제거를 포함한 기술에 의하여 기판을 프로세싱하기 위해 플라즈마 프로세싱 장치가 사용된다. 최근에, 피쳐 크기의 축소 및 새로운 재료의 구현으로 인해, 플라즈마 프로세싱의 조건을 제어하도록 플라즈마 프로세싱 장치가 요구되고 있다.
개요
샤워헤드 전극 및 그 샤워헤드 전극과 열 접촉하는 가열기를 포함한 플라즈마 프로세싱 장치가 제공되며, 가열기는, 샤워헤드 전극의 적어도 일부를 임계 온도보다 높은 온도로 가열하도록 동작한다. 부가적으로, 샤워헤드 전극의 온도를 제어하기 위해, 그리고, 그 샤워헤드 전극에 있어서 소정의 온도를 유지하도록 가열기와 공동 동작하기 위해, 장치 내에 최상부 플레이트를 더 제공할 수도 있다.
일 실시형태에서, 샤워헤드 전극 어셈블리는, 진공 챔버의 내부에 탑재되도록 구성된 샤워헤드 전극 및 그 샤워헤드 전극에 부착된 무선 주파수 (RF) 분배 부재를 포함하며, 그 RF 분배 부재는, 진공 챔버의 온도 제어된 최상부 벽의 개구로 축방향으로 연장하도록 구성된 제 1 부분을 포함하고, 또한, 그 RF 분배 부재는, 샤워헤드 전극의 위에서 측면으로 연장하고 RF 경로와 열 경로를 제공하는 제 2 부분; 및 RF 분배 부재에 부착되고 진공 챔버의 최상부 벽과 RF 분배 부재의 제 2 부분 사이의 열 경로를 샤워헤드 전극에 제공하도록 구성된 열 경로 부재를 포함한다. 또 다른 실시형태에서, RF 분배 부재는, 샤워헤드 전극에 프로세스 가스를 공급하는 하나 이상의 가스 통로를 더 포함할 수 있다.
또 다른 실시형태에서, 샤워헤드 전극 어셈블리는, 진공 챔버의 내부에 탑재되도록 구성된 샤워헤드 전극; 그 샤워헤드 전극에 부착된 가스 분배 부재; 그 가스 분배 부재에 부착된 열 경로 부재; 및 그 열 경로 부재에 부착된 가열기를 포함하며, 가열기는, 가스 분배 부재와 열 경로 부재를 통해 샤워헤드 전극으로 열을 전달한다. 또 다른 실시형태에서, 가스 분배 부재는, 샤워헤드 전극에 RF 전력을 분배하는 전기 전도성 재료일 수 있다.
또한, 플라즈마 에칭 챔버 내의 가열기에 전력을 인가하는 단계; 가열기로부터 샤워헤드 전극으로 열 전도함으로써 소정의 온도로 플라즈마 에칭 챔버 내의 샤워헤드 전극의 적어도 일부를 가열하는 단계; 샤워헤드 전극을 통해 플라즈마 에칭 챔버에 프로세스 가스를 공급하는 단계; 및 샤워헤드 전극에 RF 전력을 인가하여 프로세스 가스를 플라즈마 상태로 에너자이징함으로써 플라즈마 에칭 챔버 내의 반도체 기판을 에칭하는 단계를 포함한, 플라즈마 에칭을 제어하는 방법이 제공되며, 가열기에 인가된 전력과 샤워헤드 전극에 인가된 전력은, 열 경로 부재에 의해 서로 전기 절연된다.
도면의 간단한 설명
도 1, 도 2, 도 4, 및 도 5 는, 샤워헤드 전극 어셈블리의 바람직한 실시형태를 나타낸 도면이다.
도 3 은, 샤워헤드 전극 어셈블리를 동작시키는 바람직한 방법을 나타낸 도면이다.
도 6 은, 바람직한 샤워헤드 전극 실시형태가 동작되는 온도를 나타낸 도면이다.
도 7 은, 일 예시적인 포토레지스트 에칭 레이트에 대한 샤워헤드 전극 온도의 효과를 나타낸 도면이다.
도 8 은, C4F6/O2 에칭 가스를 이용하는 예시적인 포토레지스트 에칭 레이트에 대한 샤워헤드 전극 온도의 효과를 나타낸 도면이다.
도 9a 내지 도 9d 는, 패터닝된 포토레지스트를 80,000 배 확대하여 획득한 현미경 사진이다.
도 10 및 도 11 은, 가스 분배 부재의 바람직한 실시형태를 나타낸 도면이다.
도 12 는, 서브어셈블리 부착물의 바람직한 실시형태를 나타낸 도면이다.
상세한 설명
플라즈마 프로세싱 결과를 바꾸기 위해, 플라즈마 화학제, 이온 에너지, 밀도와 분배, 전자 온도 등과 같은 플라즈마 파라미터를 제어하는 것이 바람직하다. 또한, 이들 플라즈마 파라미터 제어 이외에, 플라즈마 화학제를 제어하고 그에 따 라 웨이퍼와 같은 반도체 기판에 대한 플라즈마 프로세싱 결과를 제어하기 위해서 플라즈마를 한정하는 플라즈마 챔버의 표면의 온도를 사용할 수도 있다.
(옥사이드 에칭과 같은) 플라즈마 에칭 프로세스에서 사용되는 샤워헤드 전극의 온도는 광범위하게 변화할 수 있다. 단일의 웨이퍼 플라즈마 에칭 챔버에서 일련의 웨이퍼를 에칭하는 경우, 무선 주파수 (RF) 전력공급된 샤워헤드 전극의 많은 부분의 온도가 시간에 대해 변화하고, RF 전력공급된 샤워헤드 전극에 의해 발생된 열에 의해 샤워헤드 전극의 중심 부분이 그 샤워헤드 전극의 에지 부분보다 더 가열될 수 있음이 관찰되고 있다. 예를 들면, 샤워헤드 전극의 중심과 에지 사이의 온도차는 약 100℃ 이상일 수 있다. 이런 온도 편차는, 전극을 더 높은 전력 레벨 (예를 들면, 3,000 내지 6,000 와트) 로 처리하는 경우에 더 분명하게 드러나며, 이는 플라즈마 에칭 시에 비-균일도를 초래할 수 있다. 따라서, RF 전력공급된 샤워헤드 전극의 온도 편차의 감소는, 생산 실행 동안 웨이퍼에 대해 보다 균일한 플라즈마 에칭을 제공할 수 있다. 또한, 생산 실행 동안에 RF 전력공급된 전극의 최소 온도를 유지하는 것은 포토레지스트 선택도를 향상시킬 수 있다.
사용 중에 발생된 열로부터의 RF 전력공급된 샤워헤드 전극의 온도 변동의 입장에서, RF 전력공급된 샤워헤드 전극의 중심 부분과 에지 부분을, 원해진 온도 범위내, 예를 들면, 중심에서 에지까지의 온도 편차를 50℃ 미만, 바람직하게는 25℃ 미만으로 유지하기 위해 가열기가 제공된다. 챔버의 최상부 벽 (최상부 플레이트) 과 같이 온도 제어된 부재의 냉각과 공동 동작하는 RF 전력공급된 샤워헤 드 전극의 가열에 의해, 플라즈마 프로세싱 장치의 동작 동안에는 RF 전력공급된 샤워헤드 전극에 바람직한 온도 분배를 제공할 수도 있다. 바람직한 일 실시형태에 의하면, 샤워헤드 전극의 중심 부분과 에지 부분 사이의 온도차는, 실리콘 옥사이드와 같은 유전 재료에 높은 애스펙트 비의 개구를 플라즈마 에칭하는 것과 같은 플라즈마 프로세싱의 균일도를 향상시키는데 효과적인 범위내에 유지될 수 있다.
바람직한 실시형태에서, 플라즈마 프로세싱 장치는, 가열기, 온도 제어된 열 싱크 및 RF 전력공급된 샤워헤드 전극을 포함한다. 이 실시형태의 플라즈마 프로세싱 장치는, 샤워헤드 전극의 능동 가열 (active heating) 및 능동 냉각 (active cooling) 에 의해 샤워헤드 전극의 온도를 제어하게 한다.
도 1 은, 가열기와 상부 샤워헤드 전극 온도 제어 시스템을 포함하는, 제 1 실시형태에 따른 플라즈마 프로세싱 장치 (100) 의 단면도를 나타낸 것이다. 도 1 에서, 플라즈마 프로세싱 장치 (100) 에는, 진공 챔버 (150) 내의 가열기 (700) 및 온도 제어기 (900) 가 제공된다.
도 1 에 도시된 바와 같이, 플라즈마 에칭 챔버와 같은 진공 챔버 (150) 는, 그 내부에, 상부 샤워헤드 전극 (200) 과 기판 지지대 (300) 를 포함하며, 상부 샤워헤드 전극 (200) 과 기판 지지대 (300) 는, 기판이 프로세싱되는 갭 (400) 만큼 분리된다. 상부 샤워헤드 전극 (200) 은, 기판의 노출면 위에 반응 가스를 분배하기 위해, 구멍이 나거나 다공질의 평면 또는 비-평면의 표면을 포함한다. 상부 샤워헤드 전극 (200) 위에는 가스 분배 부재 (500) 가 제공되며, 그 가스 분 배 부재 (500) 는, 진공 챔버 (150) 외부의 가스 공급기 (550) 로부터 상부 샤워헤드 전극 (200) 으로 프로세스 가스를 공급한다. 또한, 가스 분배 부재 (500) 는, 전기 전도성이 있으며 진공 챔버 (150) 외부의 RF 전원 (570) 으로부터 상부 샤워헤드 전극 (200) 으로 RF 전력을 분배한다.
또한, 도 1 에 도시된 바와 같이, 가스 분배 부재 (500) 의 수평으로 연장하는 부분 위에 가열기 (700) 가 위치되며, 그 가열기 (700) 는, 가스 분배 부재 (500) 와 열 전도성 절연체 (600) 를 통해 상부 샤워헤드 전극 (200) 에 열을 제공하고, 그 절연체 (600) 는, 가열기 (700) 와 가스 분배 부재 (500) 사이에 제공된다. 절연체 (600) 는, 가열기 (700) 로부터의 열을 가스 분배 부재 (500) 로 전도하면서, 가스 분배 부재 (500) 로부터 가열기 (700) 를 전기 절연시키도록 기능하는 열 전도성의 전기 절연체이다. 따라서, 가스 분배 부재 (500) 를 통해 전달된 RF 전력은, 가열기 (700) 와 상부 샤워헤드 전극 (200) 사이에서의 열 전도를 여전히 허용하면서, 가열기 (700) 로 공급된 전력으로부터 전기 절연된다.
상부 샤워헤드 전극 (200) 의 온도를 제어하기 위하여, 상부 샤워헤드 전극 (200) 의 온도 (T) 를 측정하기 위해 하나 이상의 온도 센서 (950) 와 같은 임의의 적절한 온도 모니터링 장치를 이용하는 온도 제어기 (900) 가 제공된다. 온도 센서 (950) 는, 상부 샤워헤드 전극 (200) 의 이면에 아주 근접하게 위치된 광섬유 온도 센싱 엘리먼트를 포함할 수 있으며, 또는, 온도 센서 (950) 는 상부 샤워헤드 전극 (200) 에 열적으로 연결될 수 있다. 예를 들어, 도 1 에 도시된 바와 같이, 온도 센서 (950) 는, 상부 샤워헤드 전극 (200) 의 에지 부분 근처에 위치된 다. 온도 제어기 (900) 는, 온도 센서 (950) 에 의해 제공된 상부 샤워헤드 전극 (200) 의 온도 (T) 를 나타내는 데이터/신호에 기초하여, 상부 샤워헤드 전극 (200) 의 온도가 소정의 온도 (Tp) 까지 증가되어야 하는지 여부를 판정하기 위해 사용될 수 있다. T 가 Tp 보다 작으면, 온도 제어기 (900) 는, 가열기 (700) 에 전력을 제공하는 전원 (550) 을 활성화시키도록 동작하며, 이로써 가열기 (700) 의 온도를 증가시킨 후, 차례로, 상부 샤워헤드 전극 (200) 의 온도를 증가시킨다.
가열기 (700) 는 교류 (AC) 또는 직류 (DC) 전원 (250) 에 의해 전력공급될 수도 있으며, 상술된 바와 같이, AC 또는 DC 전원 (250) 은 온도 제어기 (900) 에 의해 제어된다.
또한, 도 1 에 도시된 바와 같이, 가열기 (700) 는, 챔버의 진공 밀봉된 상부벽을 형성하는 온도 제어된 최상부 플레이트 (800) 에 의해 지지된다. 최상부 플레이트 (800) 는, 전기 접지되고 유체 제어 장치 (850) 를 포함할 수 있으며, 그 유체 제어 장치 (850) 는, 또한, 온도 제어기 (900) 에 의해 제어되며 최상부 플레이트 (800) 를 통해 흐르는 유체를 냉각시키는 온도 냉각장치 (chiller) 를 포함할 수 있다. 대안으로, 최상부 플레이트 (800) 는, 유체 제어 장치 (850) 없이 연속적이거나 비연속적인 방식으로 냉각될 수 있다. 예를 들어, 유체 제어 장치 (850) 를 사용하지 않고 최상부 플레이트 (800) 를 통해 연속적으로 물이 흐르게 할 수도 있다.
온도 제어기 (900) 가 사용되면, 최상부 플레이트의 온도가 원하는 대로 조정될 수 있다. 예를 들어, 이하에 논의된 바와 같이, T 가 Tp 보다 크면, 온도 제어기 (900) 는, 유체 제어 장치 (850) 로 하여금 최상부 플레이트 (800) 를 통해 냉각 유체를 흐르게 하여 가열기 (700) 를 냉각시킬 수 있으며, 여기서, 그 최상부 플레이트 (800) 는, 상부 샤워헤드 전극 (200) 에 대한 열 싱크로서 작동함으로써 상부 샤워헤드 전극 (200) 을 냉각시킨다. 그러나, 최상부 플레이트 (800) 를 통해 통과하는 유체는 연속적으로 순환될 수 있으며, 유체의 온도는 옵션으로 상승되거나 하강될 수 있으며/또는, 유체의 유량은 온도 제어기 (900) 로부터의 명령에 기초하여 증가되거나 감소될 수 있다.
부가적으로, 도 1 에 도시된 바와 같이, 상부 전기 절연체 (630) 는 가스 분배 부재 (500) 로부터 최상부 플레이트 (800) 를 전기 절연시키기 위해 사용된다. 또한, 상부 샤워헤드 전극 (200) 과 가스 분배 부재 (500) 를 둘러싸는 측면의 전기 절연체 (620, 640) 는, 가열기 (700) 로부터 상부 샤워헤드 전극 (200) 을 전기 절연시키기 위해 사용된다.
기판 지지대 (300) 는, 장치 (100) 내의 상부 샤워헤드 전극 (200) 으로부터 대향하는 기판 지지대의 상면에 옵션의 정전척 (ESC) 및 하부 전극을 포함한다. 따라서, 플라즈마 프로세싱되는 기판은, 기판 지지대 (300) 의 상면에 기계적으로나 정전기적으로 클램핑되거나 또는 기계적으로나 정전기적으로 클램핑됨 없이 지지될 수도 있다.
제 2 실시형태에서, 장치 (100) 는, 가열기 (700) 없는 가스 분배 부재 (500) 를 포함시킬 수 있으며, 가스 분배 부재 (500) 는 플라즈마 에칭 챔버 내의 다른 부분들과 장치 (100) 내에서 RF 절연될 수 있다. 이 실시형태에서, 가스 분배 부재 (500) 는, RF 를 가스 분배 부재 (500) 를 통해 관통시키길 소망함에 따라, 절연체 (600) 및/또는 다른 절연 부재를 이용하여 RF 절연될 수 있다.
또한, 제 2 실시형태에서, 가스 분배 부재 (500) 는 플레이트 (505) 및 축방향으로 연장하는 부재 (508) 를 포함하며, 그 축방향으로 연장하는 부재 (508) 는 RF 연결부를 포함하여 RF 전원 (570) 에 전기적으로 연결되는 케이블을 수용한다. 따라서, 그 부재 (508) 는, RF 전력을, RF 전원 (570) 으로부터 플레이트 (505) 로 분배한 후, 플레이트 (505) 와 상부 샤워헤드 전극 (200) 사이의 접촉 포인트를 통해 상부 샤워헤드 전극 (200) 으로 분배하기 위해 사용된다. 예를 들면, 플레이트 (505) 는 상부 샤워헤드 전극 (200) 의 이면과 접촉하고 있는 복수의 고리 모양의 돌출부를 포함할 수 있다.
축방향으로 연장하는 부재 (508) 는, 가스 공급기 (550) 로부터, 플레이트 (505) 와 상부 샤워헤드 전극 (200) 사이의 하나 이상의 플리넘 (plenum) 으로 프로세스 가스를 분배하도록 기능한다. 이와 같이, RF 전력과 프로세스 가스 모두는 가스 분배 부재 (500) 를 통해 상부 샤워헤드 전극 (200) 으로 공급된다. 가스 분배 부재 (500) 를 통해 RF 전력을 공급함으로써, 상부 샤워헤드 전극 (200) 위에 보다 균일하게 RF 전력을 공급하여, 상부 샤워헤드 전극 (200) 의 노출면에 걸쳐 중심에서 에지까지의 온도 편차를 감소시킬 수 있다. 또한, 그 부재 (500) 를 통해 프로세스 가스를 공급함으로써, 원해진 유량으로 프로세스 가스를 챔버 내의 하나 이상의 구역으로 전달하는 것이 가능하다.
도 3 에는, 제 3 바람직한 실시형태의 장치 (100) 를 동작시키는 바람직한 방법을 도시한다. 도 3 에 나타낸 바와 같이, 그 방법은, 웨이퍼를 지지대 (300) 상에 삽입하는 단계 1100 부터 시작한다. 그 다음에, 단계 1200 에서, 상부 샤워헤드 전극 (200) 의 온도 센서 (950) 가 상부 샤워헤드 전극 (200) 의 온도를 측정한다.
그 다음에, 단계 1300 에서, 온도 제어기 (900) 는, 측정된 온도 (T) 를 소정의 온도 범위 (Tp) 와 비교하며, 그 소정의 온도 범위는 상부 샤워헤드 전극 (200) 에 대해 원해진 온도에 대응한다. T 가 Tp 보다 작으면, 단계 1320 에서, 상부 샤워헤드 전극 (200) 을 가열하기 위하여 소정의 양의 전력을 가열기에 제공한 후, 단계 1200 을 반복하여 그 가열기 (700) 에 공급된 전력의 양이 적절하였음을 판정한다. T 가 Tp 보다 크면, 단계 1340 에서, 최상부 플레이트를 통해 냉각 유체를 흐르게 하고, 단계 1200 을 반복하여 최상부 플레이트 (800) 를 통해 흐르는 냉각 유체의 양이 적절하였음을 판정한다. T 가 Tp 와 거의 동일하면, 단계 1400 에서 웨이퍼를 프로세싱하고 단계 1600 에서 또 다른 웨이퍼를 프로세싱할지 여부를 판정하기 이전에 단계 1500 에서 그 웨이퍼를 제거한다. 프로세싱될 다른 웨이퍼가 없다면, 단계 1700 에서 프로세스가 종결되지만, 프로세싱될 또 다른 웨이퍼가 있다면, 프로세스는 반복되며 단계 1100 에서 웨이퍼가 삽입된다.
온도 제어기는 자립형 컴퓨터 또는 내부 로직 스위치와 같이, 임의의 유형의 정보 프로세서일 수 있음을 알 수 있다.
또한, 제공된 냉각 유체와 전력 양은, 프로세스와 동작 조건에 의존하여 소 망하는 대로 변화될 수 있음을 알 수 있다. 예를 들면, T 가 Tp 보다 훨씬 작으면, 단계 1320 에서는, T 가 Tp 보다 약간 작은 경우보다 가열기 (700) 에 더 많은 전력을 제공할 수 있다.
도 4 에는 제 3 실시형태를 도시하고 있다. 도 4 에서, 제 1 실시형태의 컴포넌트 이외에, 상부 샤워헤드 전극 (200) 을 (전극 (200) 에 본딩된 그래파이트 플레이트 엘라스토머와 같은) 백킹 부재 (220; backing member) 와 함께 도시하고 있으며, 가스 분배 부재 (500) 는 백킹 부재 (220) 에 부착된다 (예를 들어, 부재 (500) 는 볼트 또는 다른 패스너에 의해 부재 (220) 에 패스닝될 수 있다). 예를 들어, 백킹 부재 (220) 는, 전극 (200) 의 구조적 지지를 강화시키기 위해 제공될 수도 있고 또한 접촉 볼트 (225) 를 사용하여 가스 분배 부재 (500) 에 부착될 수 있으며, 이는 이하에 논의된다. 부가적으로, 상술된 상부 및 측면 전기 절연체 (630, 640) 이외에, 전기 절연중인 제 2 의 절연체 (650) 는, 축방향으로 연장하는 부재 (508) 의 외측면 영역 및 히터 (700) 와 최상부 플레이트 (800) 의 내측면 영역 상에 제공된다.
제 3 실시형태에서, 백킹 부재 (220) 는, 엘라스토머 본딩에 의해 상부 샤워헤드 전극 (200) 의 이면에 부착되는 것이 바람직하다 (예를 들면, 여기에 참조로서 전부 포함되며 공동-양도된 미국 특허 번호 제 6,194,322 B1 호 및 제 6,073,577 호 참조). 그 부재 (220) 는, 상부 샤워헤드 전극 (200) 의 가스 통로 (206) 와 정렬된 가스 통로 (226) 를 포함하여, 갭 (400) 으로의 가스 흐름을 제공한다. 최상부 플레이트 (800) 는, 장치 (100) 의 착탈식 진공 밀봉된 최상 부벽을 형성하며, 상부 샤워헤드 전극 (200) 의 온도를 제어하기 위해 가열기 (700) 와 공동 동작하는 열 싱크로서 기능한다.
백킹 부재 (220) 는, 플라즈마 프로세싱 챔버 내의 반도체 기판을 프로세싱하기 위해 사용되는 프로세스 가스와 화학적으로 호환가능한 재료로 제조되는 것이 바람직하고, 전극 재료의 열 팽창 계수와 밀접하게 매칭하는 열 팽창 계수를 가지며, 또한 전기 및 열 전도성이 있다. 백킹 부재 (220) 를 제조하기 위해 사용될 수 있는 바람직한 재료는, 제한하려는 것은 아니지만, 그래파이트와 실리콘 카바이드 (SiC) 를 포함한다.
또한, 제 3 실시형태는, 전극 (200) 을 둘러싸는 접지 전극 (250) 을 특징으로 한다. 이 외부 전극 부재 (250) 는 300mm 웨이퍼와 같은 더 큰 웨이퍼를 프로세싱하는데 유용하며, 외부 전극 부재 (250) 에는 또한, 절연체 (600) 와 측면 전기 절연체 (640) 에 인접한 외부 전극 부재 (250) 상에 위치된 전기적으로 접지된 링 (270) 과 백킹 링 (260) 이 제공된다. 또한, 이 전극 장치의 상세한 설명은 공동-양도된 미국 특허 출원 번호 제 10/645,665 호에서 알 수 있으며, 이들의 제시된 문제는 여기에 참조로 포함된다. 원한다면, 챔버는 갭 (400) 을 둘러싸는 플라즈마 한정 장치를 포함할 수 있으며, 이들의 상세한 설명은, 여기에 참조로서 전부 포함되며 공동-양도된 미국 특허 번호 제 6,602,381 B1 호 및 미국 특허 번호 제 5,534,751 호에서 알 수 있다.
또한, 도 4 의 일부를 확대한 도면인 도 5 에 도시된 바와 같이, 백킹 부재 (220) 와 가스 분배 부재 (500) 사이의 접촉 포인트 (520) 는, 상부 샤워헤드 전극 (200) 과 백킹 부재 (220) 를 향한 가스 분배 부재 (500) 로부터의 돌출부로서 도시되어 있다. 접촉 포인트 (520) 는 도 5 의 단면에 동심 (同心) 링으로서 도시되며, 그 접촉 포인트 (520) 는 가스 분배 부재 (500) 로부터 돌출한다. 그러나, 접촉 포인트 (520) 는, 연속적이거나 비연속적인 링, 이격된 개별 포인트들 또는 RF 전력을 송신할 수 있고 열을 전도할 수 있는 임의의 다른 형상의 부재일 수도 있다. 연속적인 접촉 포인트 링이 사용되면, 샤워헤드 전극의 이면과 링 사이에 형성된 플리넘들 사이의 가스의 소통을 허용하기 위하여 링에 채널이 제공될 수 있다. 한편, 상호 소통 (cross communication) 을 원하지 않고 그 결과 접촉 포인트 링의 일면의 가스를 링의 타면의 가스로부터 절연시키도록 의도하는 경우에는 채널을 생략할 수도 있다. 예를 들면, 도 4 에 도시된 바와 같이, 가스 분배 부재 (500) 와 상부 샤워헤드 전극 (200) 사이에는 3 개의 동심 링이 제공된다.
가스 분배 부재 (500) 의 각각의 접촉 포인트 (520) 는, 원해진 RF 의 양과 열 전도율에 의존하는 접촉 면적을 가질 수 있을 뿐만 아니라, 가스 분배 부재 (500) 로부터 상부 샤워헤드 전극 (200) 으로 가스를 공급하기 위해 원해진 면적을 가질 수 있다. 예를 들면, 도 5 에 도시된 바와 같이, 가스 분배 부재 (500) 와 백킹 부재 (220) 사이의 플리넘은 그들 사이에 가스 통로를 허용하며 접촉 포인트 (520) 는 RF 와 열 전도율을 고려한다.
바람직하게는, 가스 분배 부재 (500) 와 상부 샤워헤드 전극 (200) 사이의 접촉 포인트 (520) 에 의해 제공된 접촉 면적은, 가스 분배 부재 (500) 의 총 표면 적의 약 0.1% 내지 99.9%, 예를 들면, 1 내지 5%, 5 내지 15%, 15 내지 30%, 30 내지 45%, 45 내지 60%, 60 내지 75%, 75 내지 90%, 또는 90 내지 99.9 % 이다.
일 예시적인 실시형태에서, 접촉 포인트 (520) 는, 일체식으로 형성된 4 개의 연속적인 링으로서 제공되며, 이들 각각의 폭은 0.5 인치이다. 이 실시형태에서, 약 12.2" 의 외경을 가지는 가스 분배 부재 (500) 에 대해, 제 1 링은 약 2.5" 의 내경과 3" 의 외경을 가지고, 제 2 링은 약 5" 의 내경과 5.5" 의 외경을 가지며, 제 3 링은 약 8" 의 내경과 8.5" 의 외경을 가지며, 또한, 제 4 링은 약 11" 의 내경과 11.5" 의 외경을 가지며, 상부 샤워헤드 전극 (200) 은 가스 분배 부재 (500) 와 거의 동일한 직경을 가진다. 이 실시형태에서, 접촉 면적은, 가스 분배 부재 (500) 의 총 면적의 15% 내지 20% 이다.
부가적으로, 상부 샤워헤드 전극 (200) 은, 반응기 및/또는 그 반응기 내부에서 수행되는 프로세스에 의존하여 임의의 원해진 디멘젼 또는 구성으로 이루어진 몇몇 가스 통로 또는 다수의 가스 통로를 가질 수 있으며, 갭 (400) 은 임의의 원해진 간격, 예를 들면, 1" 내지 10", 2" 내지 5", 또는 3" 내지 6" 일 수 있다. 예를 들어, 갭이 크면, 예를 들어, 약 6cm 이상이면, 예를 들어, 가스 분배 부재 (500) 와 상부 샤워헤드 전극 (200) 사이에 약 99% 와 같이, 90% 이상의 높은 접촉 면적을 제공하면서, 상부 샤워헤드 전극 (200) 의 중심에 오직 몇몇 가스 아웃렛만을 제공할 수 있다.
부가적으로, 접촉 볼트 (225) 를 또한 설명하고 있으며, 그 접촉 볼트 (225) 는 상부 샤워헤드 전극 (200) 과 백킹 부재 (220) 를 가스 분배 부재 (500) 에 고 정시키며, 가스 분배 부재 (500) 는 백킹 부재 (220) 와 상부 샤워헤드 전극 (200) 을 지지한다. 예를 들어, 그 부재 (500) 를 통해 통과하는 접촉 볼트 (225) 는 부재 (220) 의 나사형 홀에 끼워질 (thread) 수 있다.
전극 (200) 의 온도는, 또한, 가열기 (700), 최상부 플레이트 (800), 온도 센서 (950), 전원 및 온도 제어기 (900) 를 이용하는 것 이외에, 가열기 (900) 와 최상부 플레이트 (800) 사이의 온도 전도를 또한 제어함으로써 제어될 수 있다.
예를 들어, 도 5 에 도시된 바와 같이, 가열기 (700) 는 열 초크 (750) 를 형성하는 돌출부를 포함할 수도 있으며, 또는 장치 (100) 가 가열기 (700) 로부터 분리된 열 초크 (750) 를 포함할 수도 있고, 그 열 초크는 초크 링인 것이 바람직하다. 어느 형태의 열 초크 (750) 이든지 열 흐름에 저항을 제공하여 가열기 (700) 와 최상부 플레이트 (800) 사이의 열 전도를 억제하며, 그 열 흐름을 제어하기 위하여 열 초크 (750) 의 재료와 크기를 조정할 수 있다. 예를 들면, 열 흐름을 더 적게 하길 원하는 경우, 열 초크 (750) 는 더 협폭일 수 있고 또는 낮은 열 전도성 재료로 제조될 수 있다.
바람직하게는, 열 전도율을 제어하기 위해 열 초크 (750) 가 크기조정될 수 있으며, 열 초크 (750) 와 가열기 (700) 사이의 접촉 면적은, 가열기의 면적의 1% 내지 100% 의 범위, 예를 들면, 1% 내지 5%, 5% 내지 15%, 15% 내지 30%, 30% 내지 45%, 45% 내지 60%, 60% 내지 75%, 75% 내지 90% 또는 90% 내지 100% 의 범위에 있을 수 있다.
일 예시적인 실시형태에서, 열 초크 (750) 는 3 개의 별도의 연속적인 링으 로 제공되며, 이들 각각의 폭은 1 인치이다. 이 실시형태에서, 3" 의 내경과 16.7" 의 외경을 가지는 가열기 (700) 에 대하여, 제 1 링은 3" 의 내경과 4" 의 외경을 가지고, 제 2 링은 10.5" 의 내경과 11.5" 의 외경을 가지며, 제 3 링은 약 15.6" 의 내경과 16.6" 의 외경을 가진다. 이 실시형태에서, 열 초크 (750) 와 가열기 (700) 사이의 접촉 면적은, 가열기 (700) 의 총 면적의 20% 내지 25% 의 범위에 있다.
열 초크 (750) 가 임의의 재료로 제조될 수 있지만, 가열기 (700) 및/또는 최상부 플레이트 (800) 용으로 사용되는 재료와 동일하거나 더 낮은 열 전도율의 재료로 제조되는 것이 바람직하다. 예를 들면, 열 초크 (750) 가 알루미늄 또는 스테인레스 스틸로 제조될 수 있지만, 가열기 (700) 와 최상부 플레이트 (800) 가 알루미늄이나 알루미늄 합금으로 제조되는 경우에는 더 낮은 열 전도율을 갖는 스테인레스 스틸로 제조되는 것이 바람직하다.
또한, 가열기 (700) 는, 열 초크 (750) 가 가열기 (700) 와 일체형인 경우에, 최상부 플레이트 (800) 의 특대의 개구 (미도시) 를 통해 열 초크 (750) 의 표면의 나사형 개구로 연장할 수도 있는 패스너를 사용하여 최상부 플레이트 (800) 에 부착될 수도 있다. 열 초크 (750) 가 가열기 (700) 로부터 분리된 피스인 경우에는, 상술된 바와 같이 열 초크 (750) 가 최상부 플레이트 (800) 에 부착될 수 있으며 또한 열 초크 (750) 의 개구를 통해 통과하는 부가적인 볼트가 가열기 (700) 의 나사형 개구에 끼워질 수 있다.
부착 볼트를 최상부 플레이트의 외부에 대해 밀봉하지 않는 경우에, 열 초 크, 가열기와 샤워헤드 어셈블리와의 부착 포인트를 진공 밀봉된 영역으로 한정시킬 수 있다. 예를 들어, 도 5 에 도시된 바와 같이, 오-링 (95) 에 의해 가열기 (700) 와 최상부 플레이트 (800) 사이에 이러한 진공 밀봉된 영역이 제공될 수도 있다. 오-링은 또한 다양한 컴포넌트들 사이에 위치될 수 있다. 예를 들면, 오-링 (95) 은, 최상부 플레이트 (800) 와 가열기 (700), 가열기 (700) 와 절연체 (600), 절연체 (600) 와 가스 분배 부재 (500), 및/또는 가열기 (700) 와 전기 접지된 링 (270) 사이에 진공 밀봉된 영역을 생성하기 위해 사용될 수도 있다.
또한, 상술된 바와 같이, 상부 샤워헤드 전극 (200) 은 RF 전력공급되는 것이 바람직하다. 그러나, 상부 샤워헤드 전극 (200; 및 하부 전극) 은 전기 접지되거나 전력공급될 수도 있으며, 무선-주파수 (RF) 또는 직류 (DC) 전원에 의해 전력이 바람직하게 제공된다. 바람직하게는, 플라즈마 프로세싱을 위해, 하나의 전극은 2 개 이상의 주파수 (예를 들면, 2MHz 및 27MHz) 에서 RF 전력으로 RF 전력공급되며 다른 전극은 접지된다. 예를 들면, 여기에 참조로 포함되며 공동-양도된 미국 특허 번호 제 6,391,787 호를 참조하자.
제 4 실시형태에서는, 실리콘 옥사이드와 같은 층의 에칭 피쳐, 예를 들면, HARC (High Aspect Ratio Contact) 와 같은 피쳐에 사용되는 패터닝된 포토레지스트 (PR) 의 개구에 형성되는 스트라이에이션 (striation) 을 최소화하기 위해 상부 샤워헤드 전극의 온도를 제어한다. 폭이 좁은 피쳐의 에칭시에 발생하는 한가지 문제점은, 스트라이에이션이 중복의 PR 측벽에 발생할 수도 있다는 것이다. 스트라이에이션은, 거친 PR 측벽을 초래하는 수직으로 연장하는 불규칙도이다. PR 이 에칭시에 마스크로서 사용되고 있기 때문에, 이러한 불규칙도는 하위 층으로 전달된다. 실리콘 옥사이드와 같은, 하위층의 스트라이에이션은 금속과 같은 재료를 에칭된 피쳐로 충진하기 어렵게 하고 불규칙적인 형상의 피쳐로 인해 신뢰도 문제와 성능 문제를 가져올 수도 있다. 이들 이유로 인해, 포토레지스트에 대해 선택적이고, 에칭 정지 (etch stop) 를 야기하지 않으며, 스트라이에이션의 발생을 감소시키는 옥사이드 에칭 프로세스를 제공하는 것이 바람직하다.
PR 의 에칭 레이트를 최소화시켜 그로 인해 PR 의 손실 및 PR 시의 스트라이에이션의 정도를 최소화시키기 위해 상승된 온도 (elevated temperature) 로 상부 샤워헤드 전극의 온도를 유지할 수 있다. 예를 들어, 도 7 에 도시된 바와 같이, 상부 샤워헤드 전극 (200) 과 결합한 가열기 (700) 를 이용함으로써, 예시적인 상부 샤워헤드 전극의 약 75℃ 에서 약 225℃ 로의 온도 증가는, PR 위에 중합체와 중합체 빌드업 (buildup) 의 증착을 안내하며, 즉, 약 20Å/min 내지 약 -540Å/min 까지의 에칭 레이트의 감소를 안내하며, 여기서 음 (negative) 의 에칭 레이트는, PR 상의 중합체와 중합체 빌드업의 증착에 대응한다.
이것은 또한 도 8 에 도시되며, 대응하는 PR 에칭 레이트에 대한 예시적인 상부 샤워헤드 전극 온도의 효과가 묘사된다. 도 8 에서, 패터닝된 PR 의 개구를 통해 실리콘 옥사이드 층에 피쳐를 에칭하기 위해 C4F6/O2 에칭 가스가 공급되며, 상부 샤워헤드 전극은, 20℃ 내지 80℃ 의 범위의 온도를 가지며 그 샤워헤드 온도는 샤워헤드의 에지에서 측정되었다. 도 8 에는, 80℃ 의 샤워헤드 전극을 이용하면 에칭 레이트가 -1000Å/min 가 되기 때문에, PR 에칭 레이트가 20℃ 의 샤워헤드 전극을 이용하여 250Å/min 에서 음의 에칭 레이트 (즉, 중합체 빌드업) 까지 감소함을 나타내고 있다.
또한, 도 9a 내지 도 9d 는, 에칭 동안에 야기된 스트라이에이션에 대한 상부 샤워헤드 전극 효과의 실시예 (도 9a 에서는 70℃, 도 9b 에서는 90℃, 도 9c 에서는 105℃ 및 도 9d 에서는 130℃) 를 설명하는 현미경 사진이다. 90℃ 로 실시예에서 2 번째로 가장 낮은 온도인 도 9b 에 비해, 70℃ 로 실시예에서 가장 낮은 온도인 도 9a 에서, 더 높은 샤워헤드 전극 온도에서 PR 의 개구의 원주 둘레의 스트라이에이션이 감소된다. 이것은 도 9c 와 도 9d 에 추가로 도시되며, 이 도 9c 와 도 9d 는, 각각 105℃ 와 130℃ 로 온도를 점진적으로 증가시켜 증가된 상부 샤워헤드 전극 온도로 인한 PR 의 개구의 원주 둘레의 스트라이에이션의 감소를 나타내고 있다.
따라서, 상승된 온도로 인해 상부 샤워헤드 전극은, 플라즈마 에칭 동안에 PR 에 형성되는 스트라이에이션을 감소시킬 수 있다.
A. 가열기
가열기 (700) 는 임의의 유형의 능동 가열기를 포함할 수도 있다. 바람직하게는, 가열기 (700) 는 하나 이상의 저항 가열 엘리먼트를 가진 금속 플레이트를 포함하며, 그 저항 가열 엘리먼트는 상부 샤워헤드 전극 (200) 에 대해 균일한 가열을 제공하기 위해 플레이트를 가열한다. 임의의 가열기 구성이 사용될 수 도 있지만, 저항 가열 엘리먼트는 열 전도성 플레이트와 결합하는 것이 바람직하며, 그 플레이트는 알루미늄, 알루미늄 합금 등과 같은 금속성 재료로 제조되는 것이 바람직하고 또한 상부 샤워헤드 전극 (200) 과 호환가능한 형상으로 만들어지는 것이 바람직하다. 예를 들어, 가열기 (700) 는, 주조된 알루미늄 합금의 플레이트에 하나 이상의 저항 가열 엘리먼트를 포함할 수 있다.
바람직한 실시형태에 의하면, 온도 제어기 (900) 가 전원 (250) 을 동작시켜 가열기 (700) 에 전력을 전달하는 경우에 가열기 (700) 는 열을 제공하며, 그 온도 제어기는 전원 (250) 의 제어를 통해 가열기 사이클 시간과 가열 상태를 변화시킬 수 있다. 예를 들어, 가열기 (700) 는, 상부 샤워헤드 전극 (200) 에 걸쳐, 80℃ 내지 200℃, 예를 들면, 100℃ 내지 120℃, 120℃ 내지 140℃, 140℃ 내지 160℃, 또는 160℃ 내지 180℃ 의 임계 온도를 유지하기 위해 10 또는 12 초 펄스 사이클에 따라 최대 약 7000 와트까지 전력공급될 수 있다.
가열기 (700) 는, 소정의 열 인터페이스 특성에 따라 최상부 플레이트 (800) 와 열 접촉하는 것이 바람직하다 (즉, 가열기는 최상부 플레이트와 직접 접촉하거나 하나 이상의 열 전도성 재료가 가열기와 최상부 플레이트 사이에 개재될 수 있다). 이들 열 인터페이스 특성은, 최상부 플레이트 (800) 와 결합하여, 가열기 (700) 로 하여금 상부 샤워헤드 전극 (200) 의 온도를 제어하게 한다. 가열기는 필요에 따라 상부 샤워헤드 전극 (200) 으로부터 열을 제거하기 위해 열 경로의 일부로서 사용될 수도 있으며, 가열기 (700) 는 차례로, 최상부 플레이트 (800) 에 의해 냉각될 수 있다. 가열기 (700) 는 또한, 최상부 플레이트 (800) 가 가열 기 (700) 를 지지하기 위하여, 최상부 플레이트 (800) 의 개구 (미도시) 를 통해 챔버 외부로부터 연장할 수도 있는 패스너를 사용하여 최상부 플레이트 (800) 에 부착될 수도 있다.
가열기 (700) 는, 또한, 기판의 플라즈마 프로세싱 동안, 즉, 상부 샤워헤드 전극 (200) 과 하부 전극 사이에 플라즈마가 발생되는 경우에 활성화될 수도 있다. 예를 들면, 플라즈마를 발생시키기 위해 비교적 낮은 레벨의 인가 전력을 이용하는 플라즈마 프로세싱 동작 중에, 가열기 (700) 는 원해진 온도 범위 내에서 상부 샤워헤드 전극 (200) 의 온도를 유지하기 위해 활성화될 수도 있다. 유전 재료의 에칭 프로세스와 같이 비교적 높은 전력 레벨을 이용하는 다른 플라즈마 프로세싱 동작 중에는, 상부 샤워헤드 전극 (200) 온도가 연속적인 실행들 사이에 충분히 높게 유지할 수도 있으며, 이로써, 상부 샤워헤드 전극 (200) 을 최소 또는 임계 온도 아래로 떨어뜨리지 않게 하기 위해 가열기 (700) 를 활성화시킬 필요가 없다.
플라즈마 프로세싱 장치 내의 RF 전력공급된 상부 샤워헤드 전극 (200) 에 의해 발생된 열은 가열기의 이용 없이 상부 샤워헤드 전극 (200) 의 온도를 변화시킬 수도 있다. 상부 샤워헤드 전극 (200) 을 소정의 온도보다 높은 임계 온도로, 예를 들면, 상부 샤워헤드 전극 (200) 에 의해 발생된 열의 양과 플라즈마 프로세싱 요구조건에 따라, 80℃ 이상으로, 100℃ 이상으로, 또는 150℃ 이상으로 유지하기 위해 바람직한 플라즈마 프로세싱 장치에서는 그 가열기 (700) 와 최상부 플레이트 (800) 의 결합체가 사용될 수도 있다. 바람직하게는, 가열기 (700) 와 최상부 플레이트 (800) 의 결합체는, 생산 실행의 초기 웨이퍼의 프로세싱 동안 상부 샤워헤드 전극 (200) 의 임계 온도를 달성 및 유지하기 위해 사용될 수 있고, 또는, 일 배치 (batch) 의 웨이퍼가 챔버에서 하나씩 프로세싱되는 생산 실행 동안에 프로세싱되는 각각의 웨이퍼를 위해 임계 샤워헤드 전극 온도를 유지하기 위해 사용될 수 있다.
시차열 팽창으로 인한 가열기 (700) 와 최상부 플레이트 (800) 사이의 대향면의 마모 (galling) 를 최소화시키기 위하여, 가열기 (700) 와 최상부 플레이트 (800) 의 대향면 사이에는 윤활 재료 (760) 가 제공될 수 있다. 대안으로, 윤활 재료는, 열 초크 (750) 와 가열기 (700) 의 대향면 사이, 그리고 열 초크 (750) 와 최상부 플레이트 (800) 사이의 대향면 사이에 제공될 수 있다. 예를 들면, 도 5 에 도시된 바와 같이, 윤활 재료 (760) 층은, 가열기 (700) 의 상면과 최상부 플레이트 (800) 의 하면 사이에 배치될 수 있다. 바람직하게는, 윤활 재료는, 오-링 밀봉에 의해 한정된 진공 밀봉의 대기측 상에 배치된다.
대향면들 사이에서의 움직임에 의해 야기되는 마모를 최소화시키기 위하여, 윤활 재료 (760) 는 낮은 레벨의 접촉 저항을 갖는 것이 바람직하다. 부가적으로, 윤활 재료 (760) 는, 가열기 (700) 로부터 최상부 플레이트 (800) 및/또는 열 초크 (750) 로 충분한 열 전달을 제공하기 위하여 충분한 열 전도율을 갖는 것이 바람직하다. 윤활 재료 (760) 는 또한, 가열기 (700) 와 절연체 (600) 의 대향면 사이 및/또는 가스 분배 부재 (500) 와 상부 샤워헤드 전극 (200) 사이와 같이 다른 컴포넌트 표면 위에서 사용될 수 있다.
이들 특성을 제공하는 바람직한 재료는 "GRAFOIL" 과 같은 그래파이트 재료 이며, 이는, 오하이오주의 클리블랜드의 UCAR Carbon Co., Inc. 에서 상업상 입수가능하다. 윤활 재료 (760) 는, 약 0.01 인치 내지 약 0.06 인치의 바람직한 두께, 더 바람직하게는 약 0.03 인치의 두께를 가진 개스킷인 것이 바람직하다. 윤활 재료 (760) 는, 예를 들어, 가열기 (700) 와 열 초크 (750) 사이, 및/또는 열 초크 (750) 와 최상부 플레이트 (800) 사이와 같이 컴포넌트의 표면 상에 형성된 고리 모양의 리세스에 유지되는 링 형상 개스킷인 것이 바람직하다.
가열기 (700) 는 가열 엘리먼트를 포함하며, 그 가열 엘리먼트는, 중합체 재료의 대향 층들 사이에 배치된 저항성으로 가열된 재료를 가진 적층체 또는 금속성 가열 엘리먼트인 것이 바람직할 수도 있다. 예를 들어, 금속성 가열 엘리먼트는, 주조된 금속 가열기 경우의 가열 엘리먼트 또는 가열기 내에 형성된 채널에 위치되는 가열 엘리먼트일 수도 있다. 대안으로, 적층 가열 엘리먼트가 사용되면, 가열기 (700) 에 의해 최대 200℃ 까지 도달되는 동작 온도를 견딜 수 있을 것이다. 적층 가열 엘리먼트가 사용되면, 적층 가열 엘리먼트의 적층 재료가 전기 절연체로서 동작할 수도 있기 때문에 절연체 (600) 는 옵션일 수도 있다. 적층체에 사용될 수도 있는 일 예시적인 중합체 재료는, Kapton® 상표 하에서 판매된 폴리이미드이며, 이는 E.I. du Pont de Nemours and Company 에서 상업적으로 입수가능하다.
가열기 (700) 는, 상부 샤워헤드 전극 (200) 에 걸쳐 열적으로 균일하게 가열하기 위해 제공하는 임의의 적절한 패턴으로 배열된 하나 이상의 가열 엘리먼트 를 가질 수도 있다. 예를 들어, 가열기 (700) 는, 지그-재그, 구불구불하거나 동심의 패턴과 같이 규칙적이거나 비-규칙적인 저항성 가열 라인의 패턴을 가질 수도 있다.
B. 최상부 플레이트
최상부 플레이트 (800) 는, 바람직하게는 상부 샤워헤드 전극 (200) 의 온도를 제어하기 위해 가열기 (700) 와 결합하여 작동하며, 그 최상부 플레이트 (800) 는, 가열기 (700) 를 통해 통과하는 열 경로에 의해 상부 샤워헤드 전극 (200) 및/또는 가열기 (700) 를 냉각시키기 위해 사용될 수도 있다. 임의의 열 전도성 재료가 사용될 수도 있지만, 바람직하게는, 최상부 플레이트 (800) 는, 알루미늄 또는 알루미늄 합금으로 제조될 수도 있다. 설치되는 경우, 바람직하게는, 샤워헤드 어셈블리는 챔버 내부의 최상부 플레이트 (800) 의 하부면을 커버한다.
최상부 플레이트 (800) 는, 온도 제어된 유체가 순환될 수도 있는 하나 이상의 흐름 통로를 포함한다. 바람직하게는, 온도 제어된 유체는, 예를 들어, 탈이온수와 같이, 열 전달 유체 (액체 또는 가스) 이다. 부가적으로, 최상부 플레이트 (800) 는, 바람직하게는, 요구될 수도 있는 바와 같이, 장치 (100), 가열기 (700) 및/또는 상부 샤워헤드 전극 (200) 에 대한 전기 접지뿐만 아니라 열 싱크로서 기능한다.
C. 온도 센서
장치 (100) 는, 열전지 또는 광섬유 장치와 같이 하나 이상의 온도 센서 (950) 를 포함하여 상부 샤워헤드 전극 (200) 온도를 모니터링할 수도 있다. 바람직한 실시형태에서, 온도 센서 (950) 는 온도 제어기 (900) 에 의해 모니터링되며, 그 온도 제어기 (900) 는, 전원 (250) 으로부터 가열기 (700) 로의 전력을 제어하고/제어하거나 모니터링된 온도의 기능으로서 최상부 플레이트 (800) 를 통해 유체 제어 (850) 로부터의 유체 흐름을 제어한다. 따라서, 온도 센서 (950) 에 의해 온도 제어기 (900) 로 제공된 데이터는, 전원 (250) 또는 유체 제어부 (850) 를 온도 제어기 (900) 에 의해 활성화시켜 소정의 온도나 온도 범위로 또는 그 주변으로 상부 샤워헤드 전극 (200) 을 각각, 가열, 냉각 또는 유지시키기 위하여 연속적이거나 간헐적인 방식으로 가열기 (700) 및/또는 최상부 플레이트 (800) 에 전력 또는 냉각 유체를 공급한다. 능동 가열 및/또는 냉각의 결과로서, 상부 샤워헤드 전극 (200) 의 온도가, 미리 설정된 최소 온도 또는 임계 온도 이하로 감소하는 것을 방지할 수도 있고 또는 미리 설정된 최대 온도보다 높히 증가하는 것을 방지할 수도 있으며, 또는 소정의 온도로 또는 그 주변으로 고정시킬 수도 있다.
D. 가스 분배 부재
상술된 바와 같이, 장치 (100) 는, 상부 샤워헤드 전극 (200) 위에 위치되어 그 상부 샤워헤드 전극 (200) 과 유체 소통하는 가스 분배 부재 (500) 를 더 포함할 수도 있다. 바람직하게는, 가스 분배 부재 (500) 와 결합한 상부 샤워헤드 전극 (200) 을 이용함으로써, 기판 위의 하나 이상의 가스 분배 구역으로 전달되는 프로세스 가스가 프로세싱된다. 더욱이, 가스 분배 부재 (500) 는, 가스 흐름을 제어하는 배플 (baffle) 을 요구하지 않으면서, 상부 샤워헤드 전극 (200) 의 이면으로 가스를 분배하기 위해 사용될 수 있다. 여기에 참조로서 전부 포함되며 챔버내의 구역으로 혼합된 가스를 전달하는 복수의 가스 공급기 및 가스 공급 라인을 포함한 반도체 기판을 프로세싱하기 위한 가스 분배 시스템을 개시하는 공동-양도된 미국 특허 번호 제 6,508,913 호를 참조한다.
가스 분배 부재 (500) 의 바람직한 실시형태가 도 10 에 도시되며, 가스 분배 부재 (500) 는, 알루미늄으로 제조되는 것이 바람직하고 접촉 면적 (170) 에 동축으로 정렬되는 방사상으로나 측면으로 연장하는 원형 금속 플레이트 (505) 및 축방향으로 연장하는 실린더형 허브 (508) 모두를 포함하여, 축방향으로 연장하는 허브 (508) 에 제공된 가스가 금속 플레이트 (505) 를 통해 샤워헤드 전극 (200) 의 이면의 하나 이상의 플리넘으로 통과할 수 있다. 허브 (508) 및 플레이트 (505) 는 단일의 피스의 재료 또는 다수의 피스의 재료로부터 형성되며, 이는 함께 본딩되거나 함께 기계적으로 패스닝될 수 있다. 도 4 에 도시되는 바와 같이, 축방향으로 연장하는 허브 (508) 및 금속 플레이트 (505) 는 하나의 피스의 재료일 수 있다. 대안으로, 플레이트 (505) 는, 함께 본딩되거나 함께 기계적으로 패스닝되는 2 개의 중첩 플레이트를 포함할 수 있으며, 예를 들어, 또 다른 금속 플레이트 (106) 가 도 4 에 도시되는 바와 같이, 플레이트 (106) 의 아웃렛을 통해 금속 플레이트 (106) 와 전극 (200) 사이의 일 플리넘 또는 플리넘들로 프로세스 가스를 공급하는 가스 채널을 가진 플레이트 (505) 의 하면에 부착될 수 있다. 대안으로, 축방향으로 연장하는 허브 (508) 및 분리된 금속 플레이트 (505) 는, 도 1 및 도 2 에 도시되는 바와 같이, 가스 분배 플레이트 (500) 를 포함할 수 있다.
또한, 도 2 및 도 5 에 도시되는 바와 같이, 가스 분배 부재 (500) 는, RF 전원 (570) 으로부터 상부 샤워헤드 전극 (200) 으로 RF 전력을 전달하기 위해 사용될 수 있으며, 예를 들면, RF 발생기로부터의 RF 전력은, RF 전력이 축방향으로 연장하는 허브 (508) 와 금속 플레이트 (505) 를 통해, 그리고 상부 샤워헤드 전극 (200) 에 걸쳐서 공급될 수도 있도록 허브 (508) 를 통한 RF 입력 연결부에 부착되는 케이블을 통하여 공급될 수 있다.
바람직한 실시형태에서, 금속 플레이트 (505) 는, 고리 모양의 분배 도관 (151), 도관 (151) 과 유체 소통하는 방사상으로 연장하는 가스 통로 (160) 및 통로 (160) 와 유체 소통하는 축방향으로 연장하는 가스 아웃렛 (115, 122, 125) 을 형성하기 위하여, 자신의 벌크를 통해 크로스-보어 (cross-bore) 를 포함한다. 예를 들어, 도 10 및 도 11 을 참조한다. 마찬가지로, 축방향으로 연장하는 허브 (508) 는, 또한, 도 10 에 도시되는 바와 같이, 하나 이상의 축방향으로 연장하는 가스 피드 (110, 120) 를 형성하기 위해 자신의 벌크를 통해 바람직하게 보링된다. 가스 피드 (110, 120), 도관 (151), 가스 통로 (160) 및 아웃렛 (115, 122, 125) 의 이용으로, 가스 분배 부재 (500) 는 상부 샤워헤드 전극 (200) 의 이면의 하나 이상의 플리넘으로 가스 분배를 제공할 수도 있으며, 가스 통로 (160) 는, 도 10 에 도시되는 바와 같이, 도관 (151) 을 통해 축방향으로 연장하는 허브 (508) 의 하나 이상의 가스 피드 (110, 120) 에 연결된다. 따라서, 상이한 프로세스 가스 화학제 및/유량은, 프로세싱되는 기판에 걸쳐 하나 이상의 구역에 인가될 수 있다.
일 실시형태에서, 가스 흐름의 분배가 배플의 이용 없이 달성될 수 있기 때문에, 예를 들어, 장치 (100) 는, 가스 공급기 (550) 로부터 아웃렛 (115, 122, 125) 으로의 가스의 흐름을 제어하기 위해 제어 포인트 (128) 를 포함할 수도 있다. 이들 제어 포인트 (128) 는, 도 10 에 도시된 바와 같이, 제어 포인트 (128) 를 통해 아웃렛 (115, 122, 125) 으로 흐르는 가스의 양을 제어할 수 있는 콘스트릭터 플레이트 (constrictor plate) 인 것이 바람직하다.
바람직하게는, 가스 분배 부재 (500) 는, 가스 통로를 통해 상부 샤워헤드 전극 (200) 의 이면의 하나 이상의 플리넘으로 가스를 전달하기 위하여, 상부 샤워헤드 전극 (200) 과 접촉하는 하나 이상의 가스 밀봉부 또는 장벽을 포함한다. 예를 들어, 도 10 에 도시되는 바와 같이, 금속 플레이트 (505) 의 하부면과 상부 샤워헤드 전극 (200) 의 이면 사이의 오-링 장벽 (180) 이 금속 플레이트 (505) 와 상부 샤워헤드 전극 (200) 사이에 플리넘들, 예를 들면, 중심 플리넘 (190) 과 외부 플리넘 (195) 을 확립하기 위해 사용될 수 있다.
가스 공급기 (550) 는, 샤워헤드 전극 (200) 의 이면의 각각의 플리넘으로 하나 이상의 개별 가스 또는 가스 혼합물을 제공할 수 있다. 예를 들어, 내부 및 외부 플리넘은, 반도체 기판의 프로세싱 동안에 갭 (400) 에 원해진 프로세스 가스 분배를 달성하기 위해 동일한 프로세스 가스 및/또는 상이한 가스 또는 가스 혼합물의 상이한 유량으로 공급될 수 있다.
E. 절연체
장치는 또한 절연체 (600) 를 포함할 수도 있으며, 그 절연체 (600) 는, 바 람직하게는, 열 전도성이 있지만 전기 절연체이며, 또한, 더 바람직하게는, 알루미늄 나이트라이드 또는 보론 나이트라이드와 같이, 세라믹이다. 이 절연체 (600) 는, 가열기 (700) 와 같이, 다른 전력 소스 및 다른 전력 소스와 관련된 다른 전기 전도성이 있는 부분으로부터 상부 샤워헤드 전극 (200) 에 인가되는 RF 전력을 절연시키는데 유용하다. 따라서, 절연체 (600) 는, 가열기 (700) 를 전기 절연시킬 수 있지만, 상부 샤워헤드 전극 (200) 과 열 접촉으로 배치될 수 있어, 상부 샤워헤드 전극 (200) 의 RF 전력과 가열기의 AC 또는 DC 전력 사이의 감소된 전기 간섭으로 인해 상부 샤워헤드 전극 (200) 이 가열될 수 있다.
절연체 (600) 는, 가스 분배 부재 (500) 와 가열기 (700) 사이의 영역을 실질적으로 충진하기 위해 바람직하게 사이징되지만, 또한 가스 분배 부재 (500) 의 외부 에지 영역을 전기 절연시키는 제 2 부분 (620) 을 포함하도록 형상화될 수 있다. 그러나, 절연체 (600) 는, 상부 샤워헤드 전극 (200) 및 그것과 관련된 전기 전도성이 있는 RF 공급 경로 (예를 들면, 가스 분배 부재 (500)) 에 인가된 RF 전력으로부터, 가열기 (700) 및 다른 전기 전도성이 있는 부분 (예를 들면, 최상부 플레이트 (800)) 를 전기 절연시키도록 형상화되는 것이 가장 바람직하다.
부가적으로, 절연체는, 소정의 전력 레벨에 대한 소정의 전기 절연 레벨을 제공하도록 바람직하게 사이징된다. 예를 들어, 본 출원의 양수인인 램 리서치 코포레이션에 의해 제작된, 2300 ExelanTM 플라즈마 챔버에 제공되는 절연체 층 (600) 은, 0.2 내지 1.0 인치, 더 바람직하게는, 0.3 내지 0.8 인치, 예를 들어 0.5 내지 0.75 인치의 두께로 사이징될 수 있다.
F. 서브어셈블
장치 (100) 의 컴포넌트를 구조적으로 지지하기 위하여, 기계적 패스너는, 서로 관계가 있는 위치에 컴포넌트를 고정시키기 위해 사용된다. 바람직하게 금속 볼트는 기계적 패스너로서 사용되며, 그 볼트는 장치 (100) 내의 컴포넌트들 각각을 부착시키기 위해 사용된다. 바람직하게, 2 개의 분리된 서브 어셈블리들은 장치 (100) 의 어셈블리를 단순화시킬 뿐만 아니라, 장치 (100) 내의 컴포넌트의 유지 및 교체를 용이하게 하기 위해 사용된다.
제 1 서브 어셈블리를 형성하기 위하여, 상부 샤워헤드 전극 (200) 은, 가스 분배 부재 (500) 를 통해 샤워헤드 전극 (200) 의 이면의 나사형 개구 또는 나사형 삽입부로 통과하는 볼트에 의해 가스 분배 부재 (500) 와 부착되며, 차례로, 절연체 (600) 를 통해 가스 분배 부재 (500) 의 이면의 나사형 개구 또는 나사형 삽입부로 통과하는 볼트에 의해 절연체 (600) 와 부착된다. 제 2 서브 어셈블리를 형성하기 위하여, 열 초크 (750) 는, 최상부 플레이트 (800) 를 통해 열 초크 (750) 의 이면의 나사형 개구 또는 나사형 삽입부로 통과하는 볼트에 의해 최상부 플레이트 (800) 와 볼팅되며, 최상부 플레이트 (800) 는, 가열기 (700) 의 이면의 나사형 개구 또는 나사형 삽입부로 볼트에 의해 가열기 (700) 와 볼팅된다. 그 후, 제 1 서브어셈블리는, 최상부 플레이트 (800) 와 가열기 (700) 를 통해 절연체 (600) 의 이면의 나사형 개구 또는 나사형 삽입부로 통과하는 볼트에 의해 제 2 서브어셈블리와 부착될 수 있다. 일반적으로, 제 2 서브어셈블리는 제 1 서브어 셈블리보다 더 긴 주기 동안 사용되도록 의도되며, 즉, 제 1 서브어셈블리는, 제 2 서브어셈블리가 장치내에 유지되는 동안 교체될 수 있다.
부가적으로, 상술된 바와 같이, 윤활 재료는, 장치 (100) 의 다양한 컴포넌트의 대향면들 사이의 진공 밀봉가능한 영역에 제공되는 것이 바람직하며 이로써 마모를 최소화시킨다.
바람직하게는, 도 12 에 도시된 바와 같이, 제 1 서브어셈블리 (1000) 는, 가스 분배 부재 (500) 와 상부 샤워헤드 전극 (200) 을 패스닝하는 볼트 (225), 및 절연체 (600) 와 가스 분배 부재 (500) 를 패스닝하는 볼트 (930) 를 포함한다. 또한, 제 2 서브어셈블리 (1100) 는 바람직하게는, 열 초크 (750) 와 최상부 플레이트 (800) 를 패스닝하는 볼트 (940) 및 가열기 (700) 와 최상부 플레이트 (800) 를 패스닝하는 볼트 (910) 를 포함한다. 대안으로, 열 초크 (750) 는, 열 초크 (750) 를 최상부 플레이트 (800) 와 볼팅하기 이전에, 볼트 (950) 에 의해 가열기 (700) 와 볼팅될 수 있다.
바람직한 실시형태에서, 도 12 에 도시된 바와 같이, 서브어셈블리 (1000, 1100) 의 부분들은, 중첩 부분들로부터의 볼트를 정렬된 홀 및 나사를 통해 패스너로 통과시키기 위해 그 부분들의 하면의 스테핑 개구에 위치된 패스너 (900) 를 포함한다. 이러한 패스너에 대한 상세한 설명은, 공동-양도된 미국 특허 출원 번호 제 10/623,540 호에 제공되며, 이에 대한 전체 제시된 모든 문제는 여기에 참조로서 포함된다.
본 발명이 여기에 특정 실시형태를 참조하여 보다 상세히 설명되고 있지만, 첨부된 청구항의 범위로부터 벗어남 없이, 다양한 변경 및 변형이 행해질 수도 있고 등가물이 사용될 수도 있음을 당업자는 알 것이다.

Claims (24)

  1. 진공 챔버의 내부에 탑재되도록 구성되고 또한 반도체 기판이 지지되는 저부 전극과 샤워헤드 전극 사이의 갭으로 프로세스 가스를 공급하도록 구성되는 샤워헤드 전극;
    상기 샤워헤드 전극에 부착된 가스 분배 부재;
    상기 가스 분배 부재에 부착된 열 경로 부재; 및
    상기 열 경로 부재에 부착된 가열기를 포함하며,
    상기 가열기는, 상기 가스 분배 부재와 상기 열 경로 부재를 포함한 열 경로를 통해 상기 샤워헤드 전극으로 열을 전달하는, 샤워헤드 전극 어셈블리.
  2. 제 1 항에 있어서,
    상기 열 경로 부재는, 열 전도성이 있는 전기 절연체를 포함하는, 샤워헤드 전극 어셈블리.
  3. 제 1 항에 있어서,
    상기 열 경로 부재는 나이트라이드 재료를 포함하는, 샤워헤드 전극 어셈블리.
  4. 제 1 항에 있어서,
    상기 열 경로 부재는 알루미늄 나이트라이드 또는 보론 나이트라이드를 포함하는, 샤워헤드 전극 어셈블리.
  5. 제 1 항에 기재된 샤워헤드 전극 어셈블리를 포함하는 진공 챔버로서,
    가스 분배 부재의 제 1 부분은 온도 제어된 최상부 벽의 개구로 연장하고, 상기 가스 분배 부재의 제 2 부분은 상기 샤워헤드 전극 위로 완전히 연장하는, 진공 챔버.
  6. 제 5 항에 있어서,
    상기 진공 챔버의 상기 온도 제어된 최상부 벽은 가열기, 열 경로 부재, 상기 가스 분배 부재 및 상기 샤워헤드 전극을 통해 통과하는 열 경로에 의해 상기 샤워헤드 전극으로부터 열을 제거하는, 진공 챔버.
  7. 제 5 항에 있어서,
    상기 샤워헤드 전극은 RF 전력공급된 전극이고,
    상기 가스 분배 부재는, 상기 RF 전력을 상기 가스 분배 부재의 상기 제 1 부분으로부터 상기 가스 분배 부재의 상기 제 2 부분으로 분배한 후, 상기 샤워헤드 전극으로 분배하는 전기 전도성 재료로 제조되는, 진공 챔버.
  8. 제 7 항에 있어서,
    상기 가스 분배 부재는, 상기 샤워헤드 전극의 이면의 단면적의 0.1% 내지 99.9% 접촉하는, 진공 챔버.
  9. 제 1 항에 있어서,
    상기 샤워헤드 전극을 둘러싸고 상기 갭에 대면하는 노출면을 포함하는 접지 전극을 더 포함하며,
    절연체가 상기 접지 전극의 내부 주연 (periphery) 과 상기 샤워헤드 전극의 외부 주연 사이에 위치되는, 샤워헤드 전극 어셈블리.
  10. 플라즈마 에칭 챔버내의 가열기에 전력을 인가하는 단계;
    상기 가열기로부터 샤워헤드 전극으로 열을 전도함으로써 소정의 온도로 상기 플라즈마 에칭 챔버 내의 샤워헤드 전극의 적어도 일부를 가열하는 단계;
    상기 샤워헤드 전극을 통해 상기 플라즈마 에칭 챔버로 프로세스 가스를 공급하는 단계로서, 상기 프로세스 가스는, 반도체 기판이 지지되는 저부 전극과 상기 샤워헤드 전극 사이의 갭으로 흐르는, 상기 프로세스 가스의 공급 단계; 및
    상기 샤워헤드 전극에 RF 전력을 인가하고 상기 프로세스 가스를 플라즈마 상태로 에너자이징함으로써 상기 플라즈마 에칭 챔버내의 반도체 기판을 에칭하는 단계를 포함하며,
    상기 가열기에 인가된 상기 전력과 상기 샤워헤드 전극에 인가된 상기 전력은 열 경로 부재에 의해 서로 전기 절연되는, 플라즈마 에칭의 제어 방법.
  11. 제 10 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는, 적어도 80℃ 의 온도로 상기 샤워헤드 전극의 적어도 일부를 가열 및 유지하는 단계를 포함하는, 플라즈마 에칭의 제어 방법.
  12. 제 10 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는, 적어도 100℃ 의 온도로 상기 샤워헤드 전극의 적어도 일부를 가열 및 유지하는 단계를 포함하는, 플라즈마 에칭의 제어 방법.
  13. 제 10 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는, 적어도 150℃ 의 온도로 상기 샤워헤드 전극의 적어도 일부를 가열 및 유지하는 단계를 포함하는, 플라즈마 에칭의 제어 방법.
  14. 제 10 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는 상기 반도체 기판을 에칭하는 단계 이전에 발생하는, 플라즈마 에칭의 제어 방법.
  15. 제 10 항에 있어서,
    상기 에칭하는 단계는, 상기 반도체 기판 상의 옥사이드 층에 개구를 에칭하는 단계를 포함하며,
    상기 개구는 패터닝된 포토레지스트에 의해 한정되는, 플라즈마 에칭의 제어 방법.
  16. 제 15 항에 있어서,
    상기 프로세스 가스는 플루오로카본 및/또는 하이드로플루오로카본 가스를 포함하며,
    상기 샤워헤드 전극을 가열하는 단계는, 상기 프로세스 가스의 플루오르 래디컬 밀도 (fluorine radical density) 를 제어함으로써 상기 포토레지스트 상의 스트라이에이션을 감소시키는, 플라즈마 에칭의 제어 방법.
  17. 제 16 항에 있어서,
    상기 에칭하는 단계는, 실리콘 옥사이드 층에 높은 애스펙트 비의 접촉 개구를 형성하는, 플라즈마 에칭의 제어 방법.
  18. 제 10 항에 있어서,
    상기 샤워헤드 전극으로부터 가스 분배 부재, 상기 열 경로 부재, 상기 가열기, 하나 이상의 열 초크 및 최상부 벽으로 연장하는 열 경로를 따라 열을 전도함 으로써 상기 샤워헤드 전극을 냉각시키는 단계를 더 포함하는, 플라즈마 에칭의 제어 방법.
  19. 제 18 항에 있어서,
    상기 샤워헤드 전극에 전력을 인가하는 단계는, 상기 가스 분배 부재를 통해, 그리고, 상기 가스 분배 부재와 상기 샤워헤드 전극 사이의 다수의 접촉 포인트를 통해, 상기 플라즈마 에칭 챔버 외부의 RF 소스로부터 상기 가스 분배 부재에 위치된 RF 입력부로 RF 전력을 공급하는 단계를 포함하는, 플라즈마 에칭의 제어 방법.
  20. 제 18 항에 있어서,
    상기 가스를 공급하는 단계는, 상기 가스 분배 부재로부터 상기 샤워헤드 전극의 상기 이면의 하나 이상의 플리넘으로 가스를 공급하는 단계를 포함하는, 플라즈마 에칭의 제어 방법.
  21. 제 10 항에 있어서,
    상기 가스를 공급하는 단계는, 상기 기판과 상기 샤워헤드 전극 사이의 상기 갭의 중심 구역으로 제 1 가스 혼합물을 공급하고, 상기 중심 구역을 둘러싸는 상기 갭의 고리 모양의 구역으로 제 2 가스 혼합물을 공급하는 단계를 포함하며,
    상기 제 2 가스 혼합물은 상기 제 1 가스 혼합물과 다르며, 또는 상기 제 2 가스 혼합물은, 상기 제 1 가스 혼합물과 동일하지만 상기 제 1 가스 혼합물과 다른 유량으로 공급되는, 플라즈마 에칭의 제어 방법.
  22. 제 10 항에 있어서,
    상기 플라즈마 에칭 챔버내에서 일 배치 (batch) 의 웨이퍼를 하나씩 에칭하는 단계를 포함하며,
    상기 샤워헤드 전극은, 상기 배치의 웨이퍼의 프로세싱 동안 실질적으로 균일한 온도로 유지되는, 플라즈마 에칭의 제어 방법.
  23. 제 10 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는, 상기 샤워헤드 전극의 중심 부분과 에지 부분 사이의 온도 차가 50℃ 미만이 되도록, 상기 샤워헤드 전극의 상기 중심 부분과 상기 에지 부분을 가열하는 단계를 포함하는, 플라즈마 에칭의 제어 방법.
  24. 제 10 항에 있어서,
    상기 샤워헤드 전극을 가열하는 단계는, 상기 샤워헤드 전극의 중심 부분과 에지 부분 사이의 온도 차가 25℃ 미만이 되도록, 상기 샤워헤드 전극의 상기 중심 부분과 상기 에지 부분을 가열하는 단계를 포함하는, 플라즈마 에칭의 제어 방법.
KR1020067025017A 2004-04-30 2005-04-11 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치 KR101166740B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/835,400 2004-04-30
US10/835,400 US7712434B2 (en) 2004-04-30 2004-04-30 Apparatus including showerhead electrode and heater for plasma processing
PCT/US2005/012221 WO2005111268A2 (en) 2004-04-30 2005-04-11 Apparatus including showerhead electrode and heater for plasma processing

Publications (2)

Publication Number Publication Date
KR20070015599A true KR20070015599A (ko) 2007-02-05
KR101166740B1 KR101166740B1 (ko) 2012-07-27

Family

ID=35185882

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067025017A KR101166740B1 (ko) 2004-04-30 2005-04-11 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치

Country Status (6)

Country Link
US (2) US7712434B2 (ko)
JP (1) JP4955539B2 (ko)
KR (1) KR101166740B1 (ko)
CN (1) CN1950545B (ko)
TW (1) TWI414211B (ko)
WO (1) WO2005111268A2 (ko)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008156958A2 (en) * 2007-06-13 2008-12-24 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
KR20110039250A (ko) * 2008-07-07 2011-04-15 램 리써치 코포레이션 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8187413B2 (en) 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
KR20120112202A (ko) * 2011-03-31 2012-10-11 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 플라즈마 처리 방법 및 기억 매체
KR20140040655A (ko) * 2012-09-24 2014-04-03 램 리써치 코포레이션 용량성 커플링된 플라즈마 프로세싱 장치 내의 샤워헤드 전극 어셈블리
KR20180125481A (ko) * 2016-03-30 2018-11-23 도쿄엘렉트론가부시키가이샤 플라스마 전극 및 플라스마 처리 장치
KR20200065602A (ko) * 2018-11-30 2020-06-09 세메스 주식회사 기판 처리 장치 및 가스 분산판 온도 제어 방법

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
DE102006020291A1 (de) * 2006-04-27 2007-10-31 Ipt Ionen- Und Plasmatechnik Gmbh Plasmaquelle
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US8171877B2 (en) * 2007-03-14 2012-05-08 Lam Research Corporation Backside mounted electrode carriers and assemblies incorporating the same
WO2008114363A1 (ja) * 2007-03-16 2008-09-25 Fujitsu Microelectronics Limited 半導体装置の製造装置、および半導体装置の製造方法
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP4900956B2 (ja) * 2007-06-25 2012-03-21 東京エレクトロン株式会社 ガス供給機構及び基板処理装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN101842877B (zh) 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
SG187387A1 (en) 2007-12-19 2013-02-28 Lam Res Corp Film adhesive for semiconductor vacuum processing apparatus
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
KR101173645B1 (ko) * 2007-12-31 2012-08-20 (주)에이디에스 가스 분사 유닛 및 이를 구비하는 박막 증착 장치
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8276604B2 (en) * 2008-06-30 2012-10-02 Lam Research Corporation Peripherally engaging electrode carriers and assemblies incorporating the same
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
TWI402137B (zh) * 2008-12-10 2013-07-21 Lam Res Corp 雙重功能電極平板與利用拋光轉盤及雙重功能電極平板拋光矽電極之方法
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN202855717U (zh) 2009-09-10 2013-04-03 朗姆研究公司 等离子体反应室的可替换上室部件
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US8444456B2 (en) 2010-11-02 2013-05-21 Lam Research Corporation Electrode securing platens and electrode polishing assemblies incorporating the same
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
WO2012125275A2 (en) * 2011-03-11 2012-09-20 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US9245719B2 (en) 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
GB2489761B (en) * 2011-09-07 2015-03-04 Europlasma Nv Surface coatings
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9293305B2 (en) 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078434A1 (en) 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
CN102592986B (zh) * 2012-03-09 2017-03-15 上海集成电路研发中心有限公司 通孔形成方法
KR102139230B1 (ko) 2012-09-26 2020-07-29 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 시스템들에서의 온도 제어
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
JP2013110440A (ja) * 2013-03-11 2013-06-06 Tokyo Electron Ltd 電極ユニット及び基板処理装置
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6169040B2 (ja) * 2014-05-12 2017-07-26 東京エレクトロン株式会社 プラズマ処理装置の上部電極構造、プラズマ処理装置、及びプラズマ処理装置の運用方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10141166B2 (en) * 2014-08-15 2018-11-27 Applied Materials, Inc. Method of real time in-situ chamber condition monitoring using sensors and RF communication
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10008404B2 (en) * 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9859088B2 (en) * 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9960009B2 (en) * 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106922071B (zh) * 2015-12-25 2019-10-01 中微半导体设备(上海)股份有限公司 一种用于等离子反应装置的喷淋头加热冷却装置及方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10249526B2 (en) * 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102449621B1 (ko) * 2017-08-22 2022-09-30 삼성전자주식회사 쉬라우드 유닛 및 이를 포함하는 기판 처리 장치
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11469084B2 (en) * 2017-09-05 2022-10-11 Lam Research Corporation High temperature RF connection with integral thermal choke
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
DE102017124456A1 (de) * 2017-10-19 2019-04-25 Heraeus Noblelight Gmbh Beheizbarer Gasinjektor
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019113478A1 (en) 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN108079439A (zh) * 2017-12-29 2018-05-29 重庆半岛医疗科技有限公司 一种等离子治疗装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
JP7195307B2 (ja) * 2018-05-02 2022-12-23 東京エレクトロン株式会社 上部電極およびプラズマ処理装置
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
JP6715894B2 (ja) * 2018-08-07 2020-07-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
GB2577697B (en) * 2018-10-02 2023-01-11 Oxford Instruments Nanotechnology Tools Ltd Electrode array
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
US20220228263A1 (en) * 2019-06-07 2022-07-21 Lam Research Corporation Independently adjustable flowpath conductance in multi-station semiconductor processing
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
US20210032753A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Methods and apparatus for dual channel showerheads
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6894482B2 (ja) * 2019-09-12 2021-06-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
CN111001503B (zh) * 2019-12-04 2021-07-09 拓荆科技股份有限公司 加热装置及温度控制喷淋组件
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021113184A1 (en) * 2019-12-05 2021-06-10 Applied Materials, Inc. Gas distribution ceramic heater for deposition chamber
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
JP7422531B2 (ja) * 2019-12-17 2024-01-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
CN113628949A (zh) * 2020-05-09 2021-11-09 长鑫存储技术有限公司 控温装置及其控制方法、等离子设备
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
US11447866B2 (en) * 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114256046B (zh) * 2020-09-22 2024-07-05 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) * 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20240199451A1 (en) * 2021-04-28 2024-06-20 The Board Of Trustees Of The Leland Stanford Junior University Apparatus for Treating Water using a Plasma Source that is Protected from Water
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116417321A (zh) * 2021-12-31 2023-07-11 中微半导体设备(上海)股份有限公司 一种测温结构、上电极组件及等离子体处理装置
WO2023140941A1 (en) * 2022-01-24 2023-07-27 Lam Research Corporation Active temperature control of showerheads for high temperature processes
WO2024186529A1 (en) * 2023-03-04 2024-09-12 Lam Research Corporation Arc-resistant electrostatic chucks and arc-reducing plugs for electrostatic chucks

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
KR940011708B1 (ko) * 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
JP3086362B2 (ja) * 1993-05-19 2000-09-11 東京エレクトロン株式会社 プラズマ処理装置
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5711531A (en) * 1993-10-20 1998-01-27 Quantic Industries, Inc. Electrical initiator seal
JP3146112B2 (ja) * 1993-12-24 2001-03-12 シャープ株式会社 プラズマcvd装置
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3427534B2 (ja) * 1995-01-11 2003-07-22 ソニー株式会社 接続孔の形成方法
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5641735A (en) * 1995-06-06 1997-06-24 Chevron Chemical Company Bis(thio)ethylene ashless wear inhibitors and lubricating oils
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
KR100197649B1 (ko) * 1995-09-29 1999-06-15 김영환 박막 증착장치
JPH09209155A (ja) * 1996-01-30 1997-08-12 Kokusai Electric Co Ltd プラズマ処理装置
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
JP3598717B2 (ja) * 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6630772B1 (en) * 1998-09-21 2003-10-07 Agere Systems Inc. Device comprising carbon nanotube field emitter structure and process for forming device
US6335292B1 (en) * 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
JP2000306889A (ja) * 1999-04-21 2000-11-02 Hitachi Ltd ドライエッチング装置
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
JP3654142B2 (ja) * 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
HUP0101103A2 (hu) * 2000-03-17 2001-11-28 Sony Corporation Eljárás és berendezés száraz tartalék akkumulátor gyártására
TWI228747B (en) * 2000-05-17 2005-03-01 Tokyo Electron Ltd Processing apparatus and the maintenance method, assembling mechanism and method of processing apparatus parts, and lock mechanism and the lock method
JP2002064064A (ja) * 2000-08-21 2002-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
AU2002212963A1 (en) * 2000-10-25 2002-05-06 Tokyo Electron Limited Method of and structure for controlling electrode temperature
KR101004199B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US6602381B1 (en) * 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6962732B2 (en) * 2001-08-23 2005-11-08 Applied Materials, Inc. Process for controlling thin film uniformity and products produced thereby
JP4082720B2 (ja) * 2001-09-10 2008-04-30 キヤノンアネルバ株式会社 基板表面処理装置
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP3982678B2 (ja) * 2002-02-27 2007-09-26 東京エレクトロン株式会社 プラズマ処理装置
JP2003264229A (ja) * 2002-03-08 2003-09-19 Sony Corp 半導体装置の製造方法
US6883733B1 (en) * 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
CN100442429C (zh) * 2002-05-23 2008-12-10 蓝姆研究公司 用于半导体处理等离子反应器的多部分电极以及替换多部分电极的一部分的方法
AU2003244166A1 (en) * 2002-06-27 2004-01-19 Tokyo Electron Limited Plasma processing method
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008156958A2 (en) * 2007-06-13 2008-12-24 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
WO2008156958A3 (en) * 2007-06-13 2009-02-19 Lam Res Corp Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8268117B2 (en) 2007-10-12 2012-09-18 Lam Research Corporation Showerhead electrodes
US8187413B2 (en) 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
KR20110039250A (ko) * 2008-07-07 2011-04-15 램 리써치 코포레이션 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치
US9023177B2 (en) 2008-10-15 2015-05-05 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
KR20120112202A (ko) * 2011-03-31 2012-10-11 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 플라즈마 처리 방법 및 기억 매체
KR20140040655A (ko) * 2012-09-24 2014-04-03 램 리써치 코포레이션 용량성 커플링된 플라즈마 프로세싱 장치 내의 샤워헤드 전극 어셈블리
KR20180125481A (ko) * 2016-03-30 2018-11-23 도쿄엘렉트론가부시키가이샤 플라스마 전극 및 플라스마 처리 장치
KR20200065602A (ko) * 2018-11-30 2020-06-09 세메스 주식회사 기판 처리 장치 및 가스 분산판 온도 제어 방법
US10957517B2 (en) 2018-11-30 2021-03-23 Semes Co., Ltd. Substrate treating apparatus and temperature control method for gas distribution plate

Also Published As

Publication number Publication date
US20050241765A1 (en) 2005-11-03
KR101166740B1 (ko) 2012-07-27
JP2007535817A (ja) 2007-12-06
WO2005111268A2 (en) 2005-11-24
TW200541413A (en) 2005-12-16
TWI414211B (zh) 2013-11-01
CN1950545B (zh) 2015-11-25
US8846539B2 (en) 2014-09-30
US20100151687A1 (en) 2010-06-17
CN1950545A (zh) 2007-04-18
WO2005111268A3 (en) 2006-03-23
US7712434B2 (en) 2010-05-11
JP4955539B2 (ja) 2012-06-20

Similar Documents

Publication Publication Date Title
KR101166740B1 (ko) 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치
US8822345B2 (en) Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7645341B2 (en) Showerhead electrode assembly for plasma processing apparatuses
KR101541202B1 (ko) 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리
US9899228B2 (en) Showerhead electrode assemblies for plasma processing apparatuses
US8083855B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
KR20100016083A (ko) 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150624

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 5