KR20140040655A - 용량성 커플링된 플라즈마 프로세싱 장치 내의 샤워헤드 전극 어셈블리 - Google Patents
용량성 커플링된 플라즈마 프로세싱 장치 내의 샤워헤드 전극 어셈블리 Download PDFInfo
- Publication number
- KR20140040655A KR20140040655A KR1020130113237A KR20130113237A KR20140040655A KR 20140040655 A KR20140040655 A KR 20140040655A KR 1020130113237 A KR1020130113237 A KR 1020130113237A KR 20130113237 A KR20130113237 A KR 20130113237A KR 20140040655 A KR20140040655 A KR 20140040655A
- Authority
- KR
- South Korea
- Prior art keywords
- heat transfer
- independently controllable
- transfer plate
- showerhead electrode
- controllable gas
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 29
- 238000012546 transfer Methods 0.000 claims abstract description 57
- 239000007789 gas Substances 0.000 claims description 121
- 239000000758 substrate Substances 0.000 claims description 56
- 238000000034 method Methods 0.000 claims description 40
- 239000004065 semiconductor Substances 0.000 claims description 21
- 230000008569 process Effects 0.000 claims description 19
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 6
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 4
- 238000005530 etching Methods 0.000 claims description 4
- 239000001307 helium Substances 0.000 claims description 4
- 229910052734 helium Inorganic materials 0.000 claims description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical group [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 4
- 238000011065 in-situ storage Methods 0.000 claims description 4
- 239000000203 mixture Substances 0.000 claims description 3
- 229910052757 nitrogen Inorganic materials 0.000 claims description 3
- 229910052786 argon Inorganic materials 0.000 claims description 2
- 229910052754 neon Inorganic materials 0.000 claims description 2
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 2
- 238000004519 manufacturing process Methods 0.000 description 7
- 239000000463 material Substances 0.000 description 5
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical group [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000000712 assembly Effects 0.000 description 2
- 238000000429 assembly Methods 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 229910002804 graphite Inorganic materials 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000009529 body temperature measurement Methods 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000013536 elastomeric material Substances 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 239000007770 graphite material Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32541—Shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
- H01L22/26—Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
용량성 커플링된 플라즈마 프로세싱 장치에서의 사용을 위한 샤워헤드 전극 어셈블리는 열 전달 플레이트를 포함한다. 열 전달 플레이트는, 균일한 온도들이 샤워헤드 전극 어셈블리의 플라즈마 노출된 표면 상에서 달성될 수도 있도록 가열기 부재와 냉각 부재 사이의 열 컨덕턴스를 로컬적으로 제어하도록 가압될 수도 있는 독립적으로 제어가능한 가스 볼륨들을 갖는다.
Description
본 발명은 플라즈마 프로세싱 장치들에 관한 것이며, 여기서, 열 전달 플레이트는, 용량성 커플링된 플라즈마 프로세싱 장치에서 지지된 샤워헤드 전극 어셈블리의 온도 균일도를 제어하기 위해 사용된다.
반도체 기판 ("기판") 제조는 종종, 플라즈마의 반응성 구성요소들이 기판의 표면을 변경시키게 하기 위해, 예를 들어, 기판 표면의 보호되지 않은 영역들로부터 재료를 제거하기 위해 플라즈마에 기판을 노출시키는 것을 포함한다. 플라즈마 제조 프로세스로부터 초래하는 기판 특성들은, 플라즈마 특성들 및 기판 온도를 포함하는 프로세스 조건들에 의존한다. 예를 들어, 몇몇 플라즈마 프로세스들에서, 기판 표면 상의 임계 치수, 즉, 피쳐 (feature) 폭은 기판 온도의 ℃ 당 약 1 나노미터만큼 변할 수 있다. 동일한 기판 제조 프로세스들 사이의 기판 온도에서의 차이들이 상이한 기판 표면 특성들을 초래할 것임을 인식해야 한다. 따라서, 상이한 기판들 사이의 프로세스 결과들에서의 드리프트 (drift) 는, 플라즈마 프로세싱 동안 기판 온도에서의 변화들에 의해 초래될 수 있다. 부가적으로, 센터-투-에지 기판 온도 변화들은 기판 당 다이 수율 (die yield) 에 악영향을 줄 수 있다.
기판 제조에서의 일반적인 목적은, 기판 당 다이 수율을 최적화하고, 가능한 동일한 방식으로 공통적인 타입의 각각의 기판을 제조하는 것이다. 이들 목적들을 충족시키기 위해, 개별 기판에 걸쳐 및 공통적인 타입의 다양한 기판들 사이에서 플라즈마 프로세싱 특징들에 영향을 주는 제조 파라미터들을 제어할 필요가 있다. 플라즈마 구성요소 반응성이 온도에 비례하기 때문에, 기판 온도 및 플라즈마 노출된 표면 온도들은, 기판에 걸쳐 및 다양한 기판들 사이에서 플라즈마 프로세싱 결과들에 대한 강한 영향을 가질 수 있다. 따라서, 플라즈마 제조 프로세스들 동안 온도 제어에서의 개선들에 대한 계속적인 필요성이 존재한다.
샤워헤드 전극, 샤워헤드 전극을 지지하도록 구성된 온도 제어된 상단 플레이트, 온도 제어된 상단 플레이트와 샤워헤드 전극 사이에 배치된 가열기 플레이트, 및 열 전달 플레이트를 포함하는 플라즈마 프로세싱 챔버의 샤워헤드 전극 어셈블리가 여기에 기재된다. 열 전달 플레이트는 샤워헤드 전극과 온도 제어된 상단 플레이트 사이에 배치되며, 여기서, 열 전달 플레이트는, 복수의 독립적으로 제어가능한 가스 볼륨들 중 임의의 주어진 가스 볼륨 내의 가스 압력이 복수의 독립적으로 제어가능한 가스 볼륨들 중 임의의 다른 가스 볼륨 내의 다른 가스 압력에 영향을 주지 않도록, 복수의 독립적으로 제어가능한 가스 볼륨 (volume) 들 중 다른 가스 볼륨으로부터 유동적으로 (fluidly) 격리되도록 정의된 복수의 독립적으로 제어가능한 가스 볼륨들을 포함한다.
진공 챔버, 반도체 기판을 수용하도록 적응된 하부 전극 어셈블리, 및 상술된 샤워헤드 전극 어셈블리를 포함하는 용량성 커플링된 플라즈마 프로세싱 장치가 부가적으로 여기에 기재된다. 적어도 하나의 진공 포트는 진공 챔버의 바닥 벽에 배치되고, 미리 결정된 진공 압력으로 진공 챔버를 유지하도록 동작가능한 적어도 하나의 진공 펌프에 접속된다. 가스 공급부는 샤워헤드 전극 어셈블리를 통해 진공 챔버로 프로세스 가스를 공급하고, RF 에너지 소스는 프로세스 가스를 플라즈마 상태로 에너자이징 (energize) 하도록 구성된다.
용량성 커플링된 플라즈마 프로세싱 장치에서 반도체 기판을 프로세싱하는 방법이 여기에 추가적으로 기재된다. 방법은, 진공 챔버 내의 하부 전극 어셈블리의 상단 표면 상에 반도체 기판을 배치시키는 단계를 포함한다. 열 전달 플레이트 내의 각각의 독립적으로 제어가능한 가스 볼륨은, 샤워헤드 전극의 플라즈마 노출된 표면에 걸쳐 원하는 온도 분포를 달성하기 위해, 미리 결정된 압력으로 유지된다. 샤워헤드 전극의 플라즈마 노출된 표면에 걸친 온도들이 결정되며, 각각의 독립적으로 제어가능한 가스 볼륨 내의 압력은 샤워헤드 전극의 플라즈마 노출된 표면에 따른 온도 구배 (gradient) 들을 보상하도록 조정된다. 프로세스 가스는 가스 공급부로부터 진공 챔버로 공급되고, 가스는 플라즈마 상태로 에너자이징되며, 그 후, 반도체 기판은 플라즈마를 이용하여 에칭된다.
도 1은, 여기에 설명된 전극 어셈블리들의 바람직한 실시형태들에 따라 사용될 수도 있는 예시적인 플라즈마 프로세싱 장치를 도시한다.
도 2a, 2b는 샤워헤드 전극 어셈블리의 바람직한 실시형태들의 단면도들을 도시한다.
도 3a, 3b는 열 전달 플레이트의 예시적인 실시형태들을 도시한다.
도 2a, 2b는 샤워헤드 전극 어셈블리의 바람직한 실시형태들의 단면도들을 도시한다.
도 3a, 3b는 열 전달 플레이트의 예시적인 실시형태들을 도시한다.
첨부한 도면들에 예시된 바와 같이 본 발명의 몇몇 바람직한 실시형태들을 참조하여 이제 상세히 설명될 용량성 커플링된 플라즈마 프로세싱 장치의 샤워헤드 전극 어셈블리가 여기에 기재된다. 다음의 설명에서, 다수의 특정한 세부사항들이 본 발명의 실시형태들의 완전한 이해를 제공하기 위해 기재된다. 그러나, 본 발명의 실시형태들이 이들 특정한 세부사항들 중 몇몇 또는 전부 없이 실시될 수도 있음은 당업자에게 명백할 것이다. 다른 예시들에서, 잘 알려진 프로세스 단계들 및/또는 구조들은 본 발명의 실시형태들을 불필요하게 불명료하게 하지 않기 위해 상세히 설명되지 않는다. 여기에 사용된 바와 같이, "약" 이라는 용어들은 인용된 값들의 10% 이상 또는 이하까지의 값들을 포함하는 것으로 해석되어야 한다.
도 1은 여기에 설명된 어셈블리들의 바람직한 실시형태들을 실시하기 위해 사용될 수 있는 예시적인 플라즈마 프로세싱 장치 (100) 를 도시한다. 플라즈마 프로세싱 장치는, 플라즈마를 생성할 수 있는 용량성 커플링된 플라즈마 프로세싱 진공 챔버이다. 플라즈마 프로세싱 장치 (100) 는 챔버 벽 (103) 을 포함하는 진공 챔버 (102) 를 포함한다. 챔버 벽 (103) 의 내부 표면은, 바람직하게는 양극처리된 알루미늄이고 및/또는 열적으로 분사된 이트리아 코팅과 같은 플라즈마 저항성 재료의 코팅을 갖는다. 진공 챔버 (102) 는, 진공 챔버 (102) 내로 및 진공 챔버 (102) 로부터 반도체 기판들을 전달하기 위해 챔버 벽 (103) 에서 제공된 기판 전달 슬롯 (118) 을 포함한다.
진공 챔버 (102) 는, 플라즈마 노출된 표면 (108) 을 갖는 샤워헤드 전극 어셈블리 (104) 를 포함할 수 있다. 샤워헤드 전극 어셈블리 (104) 는 단일-피스 (single-piece) 전극 또는 멀티-피스 전극을 가질 수 있다. 예를 들어, 샤워헤드 전극 어셈블리 (104) 는 샤워헤드 전극 플레이트를 포함하는 단일-피스 구성을 가질 수 있거나, 샤워헤드 전극 플레이트 및 외부 전극 링을 포함할 수 있다. 그러한 후자의 실시형태들에서, 샤워헤드 전극 플레이트 및 외부 전극 링 양자는 선택적으로, 흑연 또는 엘라스토머 재료와 같은 접착 재료에 의해 흑연에 접착된 알루미늄과 같은 금속의 플레이트에 의해 백킹 (back) 될 수 있거나, 적절한 조임기 (fastener) 들과 함께 조임될 수 있다. 샤워헤드 전극 어셈블리 (104) 는, 예를 들어, 200mm 반도체 기판들, 300mm 기판들, 또는 심지어 더 큰 기판들을 프로세싱하도록 사이징될 수 있다. (멀티-피스 구성들에서 외부 전극 링을 포함하는) 샤워헤드 전극 어셈블리 (104) 의 샤워헤드 전극 플레이트는, 실리콘 (예를 들어, 단결정형 실리콘, 다결정형 실리콘 또는 비정질 실리콘) 또는 실리콘 카바이드일 수 있다. 장치 (100) 는 프로세스 가스를 샤워헤드 전극 어셈블리 (104) 에 공급하기 위한 가스 공급부 (미도시) 를 포함한다. 바람직하게, 샤워헤드 전극 어셈블리 (104) 는 매칭 네트워크를 통해 RF 공급부 (106) 에 의해 전력공급된다. 또 다른 실시형태에서, 샤워헤드 전극 어셈블리 (104) 의 샤워헤드 전극 플레이트는 후술되는 바와 같이, 진공 챔버 (102) 의 기판 지지부 (111) 에 포함된 바닥 전극에 의해 공급된 전력에 대한 복귀 경로를 제공하도록 접지될 수 있다.
도 1에 도시된 장치 (100) 의 실시형태에서, 프로세스 가스는, 기판 지지부 (111) 상에 지지된 반도체 기판 (10) 과 샤워헤드 전극 어셈블리 (104) 사이에서 전개되는 플라즈마 영역에서 진공 챔버 (102) 로 공급된다. 바람직하게, 기판 지지부 (111) 는, 정전 클램핑 힘에 의해 기판 지지부 (111) 상에 반도체 기판 (10) 을 고정시키는 정전척 (114) ("ESC") 을 포함한다. 일 실시형태에서, ESC (114) 는 바닥 전극으로서 작동할 수도 있으며, 바람직하게는, (통상적으로 매칭 네트워크를 통해) RF 전력 공급부 (116) 에 의해 바이어싱된다. ESC (114) 의 상부 표면 (115) 은 바람직하게는, 반도체 기판 (10) 과 대략적으로 동일한 직경을 갖는다.
일 실시형태에서, ESC (114) 는 가열/냉각 구역들을 제공하기 위한 복수의 채널들 (미도시) 을 포함한 임베딩된 온도 제어 모듈을 더 포함할 수도 있다. 사용될 수 있는 예시적인 온도 제어 모듈은 공동 소유된 미국 특허 제 8,083,855호에서 발견될 수도 있으며, 여기에 그 전체가 참조로서 포함된다.
기판 지지부 (111) 는, 샤워헤드 전극 어셈블리 (104) 의 플라즈마 노출된 표면 (108) 에 걸쳐 온도들을 측정하기 위한 적어도 하나의 온도 센서 (150) 를 더 포함할 수도 있다. 온도 센서 (150) 는 레이저 간섭계 또는 다른 적절한 센서일 수도 있고, 바람직하게는, 상기 센서에 의해 취해진 온도 측정치들을 프로세싱하기 위한 제어기에 접속된다. 대안적인 실시형태들에서, 온도 센서 (150) 는 샤워헤드 전극 어셈블리 (104) 에 포함될 수도 있다.
진공 챔버 (102) 는, 적어도 하나의 진공 펌프 (미도시) 에 접속된 적어도 하나의 진공 포트 (미도시) 를 포함할 수도 있다. 진공 펌프는, 진공 챔버 (102) 내에서 미리 결정된 진공 압력을 유지하도록 적응된다. 프로세스 가스 및 반응 부산물들은, 일반적으로 화살표들 (110) 에 의해 표현된 방향으로 펌프에 의해 인출된다.
사용될 수 있는 예시적인 용량성 커플링된 플라즈마 반응기는 듀얼-주파수 플라즈마 에칭 반응기이다 (예를 들어, 여기에 그 전체가 참조로서 포함되는 공동-양도된 미국 특허 제 6,090,304호를 참조). 그러한 반응기들에서, 에칭 가스는 가스 공급부로부터 샤워헤드 전극으로 공급될 수 있고, 플라즈마는 2개의 RF 소스들로부터 샤워헤드 전극 및/또는 바닥 전극으로 RF 에너지를 공급함으로써 반응기에서 생성될 수 있거나, 샤워헤드 전극은 전기적으로 접지될 수 있고, 2개의 상이한 주파수들의 RF 에너지는 바닥 전극에 공급될 수 있다.
도 2a는 샤워헤드 전극 (303) 및 샤워헤드 전극 (303) 에 고정된 선택적인 백킹 부재 (302), 가열기 플레이트 (304), 및 온도 제어된 상단 플레이트 (301) 를 포함하는 용량성 커플링된 플라즈마 챔버에서 사용될 샤워헤드 전극 어셈블리 (104) 의 일 실시형태의 단면도를 도시한다. 가열기 플레이트 (304) 는 선택적인 외부 가열기 부재 (304a) 를 가질 수 있다. 샤워헤드 전극 (303) 은 반도체 기판 (10) 을 지지하는 기판 지지부 (111) (도 1) 위에 위치된다.
온도 제어된 상단 플레이트 (301) 는 플라즈마 프로세싱 장치의 제거가능한 상단 벽을 형성할 수 있다. 샤워헤드 전극 (303) 은 내부 전극 부재, 및 선택적인 외측 전극 부재 (미도시) 를 포함할 수 있다. 내부 전극 부재는 통상적으로 단결정 실리콘으로 구성된다. 원한다면, 내부 및 외부 전극들은 CVD 실리콘 카바이드, 단결정 실리콘 또는 다른 적절한 재료와 같은 재료의 단일 피스로 구성될 수 있다.
내부 전극 부재는 프로세싱될 반도체 기판보다 작거나 같거나 큰, 예를 들어, 200mm 까지의 직경을 가질 수 있다. 300mm 기판 또는 그 이상의 기판들과 같은 더 큰 반도체 기판들을 프로세싱하기 위해, 외부 전극 부재는 샤워헤드 전극 (303) 의 직경을 연장하도록 적응된다. 외부 전극 부재는 연속적인 부재 (예를 들어, 링과 같은 폴리-실리콘 또는 실리콘 카바이드 부재), 또는 세그먼트화된 부재 (예를 들어, 단결정 실리콘의 세그먼트들과 같이, 링 구성으로 배열된 2-6개의 별개의 세그먼트들) 일 수 있다. 대안적으로, 샤워헤드는 모놀리스식 (monolithic) 부분일 수 있다.
바람직하게, 샤워헤드 전극 (303) 은 샤워헤드 전극 (303) 아래의 진공 챔버 (102) 내의 공간으로 프로세스 가스를 분사하기 위한 다수의 가스 통로들을 포함한다. 바람직하게, 외부 전극은 샤워헤드 전극 (303) 의 주변에 상승형 계단 (raised step) 를 형성할 수도 있다. 단계식 전극의 추가적인 세부사항들은 공동-소유된 미국 특허 제 6,824,627호에서 발견될 수 있으며, 그 특허의 내용은 여기에 참조로서 포함된다.
일 실시형태에서, 샤워헤드 전극 어셈블리 (104) 는, 샤워헤드 전극 어셈블리 (104) 에서 열 전달을 제어하기 위한 열 전달 플레이트 (220) 를 포함한다. 열 전달 플레이트 (220) 는, 가열기 플레이트 (304) 와 온도 제어된 상단 플레이트 (301) 사이에 배치되며, 가열기 플레이트 (304) 와 온도 제어된 상단 플레이트 (301) 사이의 열 컨덕턴스를 증가시키도록 가압될 수 있는 열 전달 가스를 포함하도록 적응된다. 대안적인 실시형태에서, 도 2b에 도시된 바와 같이, 열 전달 플레이트 (220) 는 가열기 플레이트 (304) 와 샤워헤드 전극 (303) 사이에 배치될 수도 있다. 열 전달 플레이트 (220) 는 복수의 가스 볼륨들을 포함하며, 여기서, 각각의 가스 볼륨은, 임의의 주어진 가스 볼륨 내의 가스 압력이 복수의 독립적으로 제어된 가스 볼륨들 중 임의의 다른 가스 볼륨 내의 다른 가스 압력에 영향을 주지 않도록, 독립적으로 제어가능하다.
열 전달 플레이트 (220) 내의 독립적으로 제어가능한 가스 볼륨들이 가스 압력에서의 증가를 경험할 경우, 예를 들어, 온도 제어된 상단 플레이트 및 가열기 플레이트와 같은 열 전달 플레이트 (220) 에 인접한 엘리먼트들 사이의 열 커플링이 또한 증가한다. 열 커플링에서의 증가는, 반도체 기판 프로세싱을 준비하기 위해 샤워헤드 전극 어셈블리 (104) 를 신속히 가열하는데 이용될 수도 있거나, 샤워헤드 전극 어셈블리 (104) 의 플라즈마 노출된 표면에 걸친 열 구배들을 보상하고 더 균일한 에칭 결과들을 제공하기 위해 사용될 수도 있다. 부가적으로, 가스는 열 전달 플레이트 (220) 의 독립적으로 제어가능한 가스 볼륨들로부터 배출될 수도 있으며, 여기서, 열 전달 플레이트 (220) 는 절연체로서 작동할 것이고, 샤워헤드 전극 어셈블리 (104) 내의 온도들이 유지될 수도 있다.
복수의 독립적으로 제어가능한 가스 볼륨들은 가압된 열 전달 가스, 예를 들어, 헬륨, 네온, 아르곤, 질소, 또는 이들의 혼합물을 보유할 수 있다. 바람직하게, 사용된 열 전달 가스는 헬륨이다. 가스 도관들 (미도시) 은 독립적으로 제어가능한 가스 볼륨들의 각각과 유체 연통하도록 온도 제어된 상단 플레이트 (301) 내에 제공된다. 플라즈마 프로세스 동안, 열 전달 가스는, 복수의 독립적으로 제어가능한 가스 볼륨들 내에서 특정된 가스 압력을 달성하기 위해, 가스 도관들을 통하여 공급 또는 배출될 수 있다.
바람직하게, 가스 볼륨들은 열 전달 플레이트 (220) 의 적어도 일부에 걸쳐 방사상으로 및/또는 주변으로 연장하도록 배열된다. 복수의 독립적으로 제어가능한 가스 볼륨들의 각각 내의 가스 압력 및 그에 따라 열 제어된 상단 플레이트 (301) 와 가열기 플레이트 (304) 사이, 또는 대안적으로 가열기 플레이트 (304) 와 샤워헤드 전극 (303) 사이의 열 도전성을 제어함으로써, 규정된 방사 온도 구배가 샤워헤드 전극 (303) 의 플라즈마 노출된 표면 상에서 달성될 수 있다. 일 실시형태에서, 특정한 독립적으로 제어가능한 가스 볼륨 내의 가스 압력은 약 0torr로부터 약 1atm 까지 연장하는 범위 내에서 제어될 수 있다. 바람직하게, 특정한 독립적으로 제어가능한 가스 볼륨 내의 가스 압력은 0torr 로부터 10torr 까지 연장하는 범위 내에 있다. 일 실시형태에서, 헬륨 가스가 다양한 가스 볼륨들에 공급된다. 그러나, 다른 실시형태들에서, 다른 타입들의 가스 또는 가스 혼합물들, 예를 들어, 질소가 다양한 가스 볼륨들에 공급될 수 있다.
도 3a, 3b는 열 전달 플레이트 (220) 의 실시형태들의 평면도들을 도시한다. 열 전달 플레이트는 복수의 독립적으로 제어가능한 가스 볼륨들 중 다른 가스 볼륨으로부터 유동적으로 격리되도록 정의된 복수의 독립적으로 제어가능한 가스 볼륨들을 포함한다. 복수의 독립적으로 제어가능한 가스 볼륨들 중 임의의 주어진 가스 볼륨 내의 가스 압력은 복수의 독립적으로 제어가능한 가스 볼륨들 중 임의의 다른 가스 볼륨 내의 다른 가스 압력에 영향을 주지 않는다.
도 3a에 도시된 바와 같이, 열 전달 플레이트 (220) 는 16개의 방사상으로 연장하는 독립적으로 제어가능한 가스 볼륨들을 포함할 수 있다. 가스 볼륨들 중 8개는 열 전달 플레이트 (220) 의 내부 영역 (401) 에 위치되고, 나머지 8개의 가스 볼륨들은 열 전달 플레이트의 외부 영역 (402) 에 위치된다. 각각의 독립적으로 제어가능한 가스 볼륨은 열 전달 플레이트 (220) 의 주변 주위에서 약 38 내지 45° 연장한다.
도 3b는 열 전달 플레이트 (220) 를 도시하며, 여기서, 열 전달 플레이트 (220) 는 열 전달 플레이트 (220) 의 중앙에 위치된 제 1 원통형의 독립적으로 제어가능한 가스 볼륨 (420) 및 제 1 원통형의 독립적으로 제어가능한 가스 볼륨의 방사상 외측의 3개의 동심의 환상의 독립적으로 제어가능한 가스 볼륨들 (421a,b,c) 을 포함한다. 그러나, 열 전달 플레이트 (220) 가 3개보다 더 많거나 더 적은 동심의 환상의 독립적으로 제어가능한 가스 볼륨들을 가질 수도 있음은 당업자에게는 명백할 것이다.
부가적으로, 열 전달 플레이트 (220) 가 방사상으로 연장하는 온도 제어 볼륨들을 갖는 것으로 설명되지만 (도 3a 참조), 다른 실시형태들에서, 열 전달 플레이트 (220) 내의 다양한 독립적으로 제어가능한 가스 볼륨들이 비-방사 지오메트릭 구성들에 대응하도록 정의될 수 있음을 인식해야 한다. 예를 들어, 다른 실시형태들에서, 열 전달 플레이트 (220) 내의 다양한 가스 볼륨들은 육각형으로 분리된 구성 또는 4개로 분리된 구성으로 정의될 수 있다.
열 전달 플레이트 (220) 는, 가열기 플레이트 (304) 와 온도 제어된 상단 플레이트 (301) 사이, 또는 대안적으로 샤워헤드 전극 어셈블리 내의 샤워헤드 전극 (303) 과 가열기 플레이트 (304) 사이의 열 컨덕턴스를 로컬적으로 증가 또는 감소시킬 수 있다. 샤워헤드 전극 어셈블리에서의 열 컨덕턴스에 걸친 더 큰 제어는, 더 균일한 온도들이 플라즈마 프로세싱 장치 내의 샤워헤드 전극 어셈블리의 플라즈마 노출된 표면에 걸쳐 획득되게 한다.
다시 도 1을 참조하면, 반도체 기판 (10) 이 용량성 커플링된 플라즈마 프로세싱 장치 (100) 에서 프로세싱된다. 프로세싱 방법은, 진공 챔버 (102) 내의 기판 지지부 (11) 의 상단 표면 (113) 상에 반도체 기판 (10) 을 배치시키는 단계를 포함한다. 다음으로, 열 전달 플레이트 (202) 내의 각각의 독립적으로 제어가능한 가스 볼륨은, 샤워헤드 전극 어셈블리 (104) 의 플라즈마 노출된 표면 (108) 에 걸쳐 원하는 온도 프로파일을 획득하기 위해 미리 결정된 압력으로 가압된다. 그 후, 샤워헤드 전극 어셈블리 (104) 의 플라즈마 노출된 표면 (108) 에 걸친 온도의 측정치들이 결정되며, 각각의 독립적으로 제어가능한 가스 볼륨 내의 압력은, 샤워헤드 전극의 플라즈마 노출된 표면에 걸친 온도 구배들을 보상하도록 인-시츄로 조정된다. 그 후, 프로세스 가스가 가스 공급부로부터 진공 챔버 (102) 로 공급되고, 프로세스 가스는 플라즈마 상태로 에너자이징되며, 반도체 기판은 플라즈마를 이용하여 에칭된다.
대안적인 실시형태들에서, 플라즈마 노출된 표면 (108) 에 걸친 온도 구배가 에칭 동안 측정되며, 각각의 독립적으로 제어가능한 가스 볼륨 내의 압력의 인-시츄 조정들은, 샤워헤드 전극 어셈블리 (104) 의 플라즈마 노출된 표면 (108) 에 따른 온도 구배들을 감소시킴으로써 에칭의 균일도를 증가시키도록 시행된다.
본 발명이 본 발명의 특정한 실시형태들을 참조하여 상세히 설명되었지만, 첨부된 청구항들의 범위를 벗어나지 않으면서, 다양한 변화들 및 변형들이 행해질 수 있고, 등가물들이 이용될 수 있음은 당업자에게 명백할 것이다.
Claims (20)
- 플라즈마 프로세싱 챔버의 샤워헤드 전극 어셈블리로서,
샤워헤드 전극;
상기 샤워헤드 전극을 지지하도록 구성된 온도 제어된 상단 플레이트;
상기 온도 제어된 상단 플레이트와 상기 샤워헤드 전극 사이에 배치된 가열기 플레이트; 및
상기 샤워헤드 전극과 상기 온도 제어된 상단 플레이트 사이에 배치된 열 전달 플레이트를 포함하며,
상기 열 전달 플레이트는, 복수의 독립적으로 제어가능한 가스 볼륨들 중 임의의 주어진 가스 볼륨 내의 가스 압력이 상기 복수의 독립적으로 제어가능한 가스 볼륨들 중 임의의 다른 가스 볼륨 내의 다른 가스 압력에 영향을 주지 않도록, 상기 복수의 독립적으로 제어가능한 가스 볼륨들 중 다른 가스 볼륨들로부터 유동적으로 격리되는 상기 복수의 독립적으로 제어가능한 가스 볼륨들을 포함하는, 샤워헤드 전극 어셈블리. - 제 1 항에 있어서,
상기 열 전달 플레이트는 상기 온도 제어된 상단 플레이트와 상기 가열기 플레이트 사이에 배치되는, 샤워헤드 전극 어셈블리. - 제 1 항에 있어서,
상기 열 전달 플레이트는 상기 가열기 플레이트와 상기 샤워헤드 전극 사이에 배치되는, 샤워헤드 전극 어셈블리. - 제 1 항에 있어서,
상기 독립적으로 제어가능한 가스 볼륨들은 방사 구성, 비-방사 구성, 육각형으로 분리된 구성, 8각형으로 분리된 구성, 또는 4개로 분리된 구성을 가질 수 있는, 샤워헤드 전극 어셈블리. - 제 1 항에 있어서,
상기 열 전달 플레이트는 16개의 방사상으로 연장하는 독립적으로 제어가능한 가스 볼륨들을 포함하며,
8개의 가스 볼륨들은 상기 열 전달 플레이트의 내부 영역에 위치되고, 8개의 가스 볼륨들은 상기 열 전달 플레이트의 외부 영역에 위치되며, 각각의 독립적으로 제어가능한 가스 볼륨은 상기 열 전달 플레이트의 주변 주위에서 약 38 내지 45°로 연장하는, 샤워헤드 전극 어셈블리. - 제 1 항에 있어서,
상기 열 전달 플레이트는, 제 1 원통형의 독립적으로 제어가능한 가스 볼륨 및 상기 제 1 원통형의 독립적으로 제어가능한 가스 볼륨의 방사상 외측의 3개의 동심의 환상의 독립적으로 제어가능한 가스 볼륨들을 포함하는, 샤워헤드 전극 어셈블리. - 제 1 항에 있어서,
상기 열 전달 플레이트의 상기 독립적으로 제어가능한 가스 볼륨들로 공급된 가스는 헬륨, 네온, 아르곤, 질소, 또는 이들의 혼합물인, 샤워헤드 전극 어셈블리. - 제 1 항에 있어서,
상기 샤워헤드 전극의 플라즈마 노출된 표면에 걸친 온도 구배를 결정하도록 구성되는 적어도 하나의 센서를 더 포함하는, 샤워헤드 전극 어셈블리. - 제 1 항에 있어서,
상기 독립적으로 제어가능한 가스 볼륨들의 각각은 약 0torr 내지 약 1atm 의 범위로 가압될 수 있는, 샤워헤드 전극 어셈블리. - 제 1 항에 있어서,
상기 독립적으로 제어가능한 가스 볼륨들의 각각은 약 0torr 내지 약 10torr 의 범위로 가압될 수 있는, 샤워헤드 전극 어셈블리. - 용량성 커플링된 플라즈마 프로세싱 장치로서,
진공 챔버;
반도체 기판을 수용하도록 적응된 하부 전극 어셈블리;
제 1 항에 기재된 상기 샤워헤드 전극 어셈블리;
미리 결정된 진공 압력으로 상기 진공 챔버를 유지하도록 동작가능한 적어도 하나의 진공 펌프에 접속된 바닥 벽 내의 적어도 하나의 진공 포트;
프로세스 가스를 상기 샤워헤드 전극 어셈블리를 통해 상기 진공 챔버로 공급하도록 동작가능한 가스 공급부; 및
상기 프로세스 가스를 플라즈마 상태로 에너자이징하도록 구성된 RF 에너지 공급부를 포함하는, 용량성 커플링된 플라즈마 프로세싱 장치. - 제 11 항에 있어서,
상기 열 전달 플레이트는 상기 온도 제어된 상단 플레이트와 상기 가열기 플레이트 사이에 배치되거나, 상기 열 전달 플레이트는 상기 가열기 플레이트와 상기 샤워헤드 전극 사이에 배치되는, 용량성 커플링된 플라즈마 프로세싱 장치. - 제 11 항에 있어서,
상기 열 전달 플레이트의 독립적으로 제어가능한 가스 볼륨들은 방사 구성, 비-방사 구성, 육각형으로 분리된 구성, 8각형으로 분리된 구성, 또는 4개로 분리된 구성을 가질 수 있는, 용량성 커플링된 플라즈마 프로세싱 장치. - 제 11 항에 있어서,
상기 열 전달 플레이트는 16개의 방사상으로 연장하는 독립적으로 제어가능한 가스 볼륨들을 포함하며,
8개의 가스 볼륨들은 상기 열 전달 플레이트의 내부 영역에 위치되고, 8개의 가스 볼륨들은 상기 열 전달 플레이트의 외부 영역에 위치되며, 각각의 독립적으로 제어가능한 가스 볼륨은 상기 열 전달 플레이트의 주변 주위에서 약 38 내지 45°로 연장하는, 용량성 커플링된 플라즈마 프로세싱 장치. - 제 11 항에 있어서,
상기 열 전달 플레이트는, 제 1 원통형의 독립적으로 제어가능한 가스 볼륨 및 상기 제 1 원통형의 독립적으로 제어가능한 가스 볼륨의 방사상 외측의 3개의 동심의 환상의 독립적으로 제어가능한 가스 볼륨들을 포함하는, 용량성 커플링된 플라즈마 프로세싱 장치. - 제 11 항에 있어서,
상기 독립적으로 제어가능한 가스 볼륨들의 각각은 약 0torr 내지 약 1atm 의 범위로 가압될 수 있는, 용량성 커플링된 플라즈마 프로세싱 장치. - 제 11 항에 있어서,
상기 독립적으로 제어가능한 가스 볼륨들의 각각은 약 0torr 내지 약 10torr 의 범위로 가압될 수 있는, 용량성 커플링된 플라즈마 프로세싱 장치. - 제 11 항에 있어서,
상기 샤워헤드 전극의 플라즈마 노출된 표면에 걸친 온도 구배를 결정하도록 구성되는 적어도 하나의 센서를 더 포함하는, 용량성 커플링된 플라즈마 프로세싱 장치. - 제 11 항에 기재된 상기 용량성 커플링된 플라즈마 프로세싱 장치를 사용하여 상기 용량성 커플링된 플라즈마 프로세싱 장치에서 반도체 기판을 에칭하는 방법으로서,
상기 진공 챔버 내의 상기 하부 전극 어셈블리의 상단 표면 상에 반도체 기판을 배치시키는 단계;
상기 샤워헤드 전극의 플라즈마 노출된 표면에 걸친 원하는 온도 프로파일을 달성하기 위해, 상기 샤워헤드 전극 어셈블리에 포함된 상기 열 전달 플레이트 내의 각각의 독립적으로 제어가능한 가스 볼륨을 미리 결정된 압력으로 가압하는 단계;
상기 샤워헤드 전극의 플라즈마 노출된 표면에 걸친 적어도 하나의 온도를 측정하는 단계;
상기 샤워헤드 전극의 플라즈마 노출된 표면에 걸친 온도 구배들을 보상하기 위해 각각의 독립적으로 제어가능한 가스 볼륨 내의 압력을 인-시츄로 조정하는 단계;
가스 공급부로부터 상기 진공 챔버로 가스를 공급하는 단계; 및
상기 가스를 플라즈마 상태로 에너자이징하고 상기 반도체 기판을 플라즈마를 이용하여 에칭하는 단계를 포함하는, 반도체 기판을 에칭하는 방법. - 제 19 항에 있어서,
에칭 동안 상기 플라즈마 노출된 표면에 걸친 온도 구배를 측정하는 단계, 및
상기 샤워헤드 전극의 플라즈마 노출된 표면을 따른 온도 구배들을 감소시킴으로써 더 균일한 에칭을 달성하기 위해 각각의 독립적으로 제어가능한 가스 볼륨 내의 압력을 인-시츄로 조정하는 단계를 더 포함하는, 반도체 기판을 에칭하는 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/625,555 US9018022B2 (en) | 2012-09-24 | 2012-09-24 | Showerhead electrode assembly in a capacitively coupled plasma processing apparatus |
US13/625,555 | 2012-09-24 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20140040655A true KR20140040655A (ko) | 2014-04-03 |
KR102236646B1 KR102236646B1 (ko) | 2021-04-06 |
Family
ID=50318500
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020130113237A KR102236646B1 (ko) | 2012-09-24 | 2013-09-24 | 용량성 커플링된 플라즈마 프로세싱 장치 내의 샤워헤드 전극 어셈블리 |
Country Status (4)
Country | Link |
---|---|
US (3) | US9018022B2 (ko) |
KR (1) | KR102236646B1 (ko) |
CN (1) | CN103681304B (ko) |
TW (1) | TWI608534B (ko) |
Families Citing this family (144)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9083182B2 (en) | 2011-11-21 | 2015-07-14 | Lam Research Corporation | Bypass capacitors for high voltage bias power in the mid frequency RF range |
US9508530B2 (en) | 2011-11-21 | 2016-11-29 | Lam Research Corporation | Plasma processing chamber with flexible symmetric RF return strap |
US10586686B2 (en) | 2011-11-22 | 2020-03-10 | Law Research Corporation | Peripheral RF feed and symmetric RF return for symmetric RF delivery |
US9396908B2 (en) | 2011-11-22 | 2016-07-19 | Lam Research Corporation | Systems and methods for controlling a plasma edge region |
US9263240B2 (en) * | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
WO2013078098A1 (en) * | 2011-11-23 | 2013-05-30 | Lam Research Corporation | Multi zone gas injection upper electrode system |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9018022B2 (en) | 2012-09-24 | 2015-04-28 | Lam Research Corporation | Showerhead electrode assembly in a capacitively coupled plasma processing apparatus |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) * | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10273578B2 (en) * | 2014-10-03 | 2019-04-30 | Applied Materials, Inc. | Top lamp module for carousel deposition chamber |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN106922071B (zh) * | 2015-12-25 | 2019-10-01 | 中微半导体设备(上海)股份有限公司 | 一种用于等离子反应装置的喷淋头加热冷却装置及方法 |
KR20180112794A (ko) * | 2016-01-22 | 2018-10-12 | 어플라이드 머티어리얼스, 인코포레이티드 | 전도성 층들이 매립된 세라믹 샤워헤드 |
JP6675260B2 (ja) * | 2016-04-27 | 2020-04-01 | 東京エレクトロン株式会社 | 変圧器、プラズマ処理装置、及び、プラズマ処理方法 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
JP6640040B2 (ja) * | 2016-06-23 | 2020-02-05 | 株式会社ニューフレアテクノロジー | 伝熱板および描画装置 |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10403476B2 (en) * | 2016-11-09 | 2019-09-03 | Lam Research Corporation | Active showerhead |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
CN111383892B (zh) * | 2018-12-29 | 2023-03-07 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置中气体喷淋头的接地连接结构 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
WO2021061461A1 (en) * | 2019-09-23 | 2021-04-01 | Lam Research Corporation | Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead |
CN112951694B (zh) * | 2019-11-26 | 2024-05-10 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置及其半导体晶圆的处理方法 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004515910A (ja) * | 2000-09-28 | 2004-05-27 | ラム リサーチ コーポレーション | プラズマを閉じ込める処理室構成 |
KR20070015599A (ko) * | 2004-04-30 | 2007-02-05 | 램 리써치 코포레이션 | 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치 |
Family Cites Families (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6090304A (en) | 1997-08-28 | 2000-07-18 | Lam Research Corporation | Methods for selective plasma etch |
JP4151749B2 (ja) * | 1998-07-16 | 2008-09-17 | 東京エレクトロンAt株式会社 | プラズマ処理装置およびその方法 |
JP2001068538A (ja) | 1999-06-21 | 2001-03-16 | Tokyo Electron Ltd | 電極構造、載置台構造、プラズマ処理装置及び処理装置 |
US6245192B1 (en) * | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6740853B1 (en) | 1999-09-29 | 2004-05-25 | Tokyo Electron Limited | Multi-zone resistance heater |
US6391787B1 (en) | 2000-10-13 | 2002-05-21 | Lam Research Corporation | Stepped upper electrode for plasma processing uniformity |
WO2002071446A2 (en) | 2001-03-02 | 2002-09-12 | Tokyo Electron Limited | Method and apparatus for active temperature control of susceptors |
US7161121B1 (en) | 2001-04-30 | 2007-01-09 | Lam Research Corporation | Electrostatic chuck having radial temperature control capability |
US6847014B1 (en) | 2001-04-30 | 2005-01-25 | Lam Research Corporation | Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support |
US6483690B1 (en) | 2001-06-28 | 2002-11-19 | Lam Research Corporation | Ceramic electrostatic chuck assembly and method of making |
US7156951B1 (en) | 2002-06-21 | 2007-01-02 | Lam Research Corporation | Multiple zone gas distribution apparatus for thermal control of semiconductor wafer |
JP2005166354A (ja) | 2003-12-01 | 2005-06-23 | Ngk Insulators Ltd | セラミックヒーター |
US7645341B2 (en) * | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
US8317968B2 (en) | 2004-04-30 | 2012-11-27 | Lam Research Corporation | Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing |
US8679252B2 (en) * | 2005-09-23 | 2014-03-25 | Lam Research Corporation | Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof |
US7501605B2 (en) | 2006-08-29 | 2009-03-10 | Lam Research Corporation | Method of tuning thermal conductivity of electrostatic chuck support assembly |
US7671412B2 (en) | 2007-02-15 | 2010-03-02 | Tokyo Electron Limited | Method and device for controlling temperature of a substrate using an internal temperature control device |
US8069817B2 (en) | 2007-03-30 | 2011-12-06 | Lam Research Corporation | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
JP5417338B2 (ja) | 2007-10-31 | 2014-02-12 | ラム リサーチ コーポレーション | 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法 |
US7972444B2 (en) | 2007-11-07 | 2011-07-05 | Mattson Technology, Inc. | Workpiece support with fluid zones for temperature control |
US20100078151A1 (en) | 2008-09-30 | 2010-04-01 | Osram Sylvania Inc. | Ceramic heat pipe with porous ceramic wick |
US20100116788A1 (en) | 2008-11-12 | 2010-05-13 | Lam Research Corporation | Substrate temperature control by using liquid controlled multizone substrate support |
JP5198226B2 (ja) | 2008-11-20 | 2013-05-15 | 東京エレクトロン株式会社 | 基板載置台および基板処理装置 |
JP5479867B2 (ja) | 2009-01-14 | 2014-04-23 | 東京エレクトロン株式会社 | 誘導結合プラズマ処理装置 |
WO2010095720A1 (ja) * | 2009-02-20 | 2010-08-26 | 日本碍子株式会社 | セラミックス-金属接合体及びその製法 |
US8038855B2 (en) | 2009-04-29 | 2011-10-18 | Freeport-Mcmoran Corporation | Anode structure for copper electrowinning |
US9018022B2 (en) | 2012-09-24 | 2015-04-28 | Lam Research Corporation | Showerhead electrode assembly in a capacitively coupled plasma processing apparatus |
-
2012
- 2012-09-24 US US13/625,555 patent/US9018022B2/en active Active
-
2013
- 2013-09-24 CN CN201310442286.4A patent/CN103681304B/zh active Active
- 2013-09-24 TW TW102134326A patent/TWI608534B/zh active
- 2013-09-24 KR KR1020130113237A patent/KR102236646B1/ko active IP Right Grant
-
2015
- 2015-03-19 US US14/662,702 patent/US9245718B2/en active Active
- 2015-11-20 US US14/947,240 patent/US9396910B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004515910A (ja) * | 2000-09-28 | 2004-05-27 | ラム リサーチ コーポレーション | プラズマを閉じ込める処理室構成 |
KR20070015599A (ko) * | 2004-04-30 | 2007-02-05 | 램 리써치 코포레이션 | 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치 |
Also Published As
Publication number | Publication date |
---|---|
TW201421575A (zh) | 2014-06-01 |
US20140087488A1 (en) | 2014-03-27 |
US9018022B2 (en) | 2015-04-28 |
CN103681304A (zh) | 2014-03-26 |
US20160079041A1 (en) | 2016-03-17 |
CN103681304B (zh) | 2016-09-28 |
US20150194291A1 (en) | 2015-07-09 |
TWI608534B (zh) | 2017-12-11 |
US9245718B2 (en) | 2016-01-26 |
KR102236646B1 (ko) | 2021-04-06 |
US9396910B2 (en) | 2016-07-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102236646B1 (ko) | 용량성 커플링된 플라즈마 프로세싱 장치 내의 샤워헤드 전극 어셈블리 | |
KR102009595B1 (ko) | 플라즈마 처리 챔버에서 갭 높이 및 평탄화 조정을 제공하는 기판 서포트 | |
US8449679B2 (en) | Temperature controlled hot edge ring assembly | |
US7993460B2 (en) | Substrate support having dynamic temperature control | |
KR101265807B1 (ko) | 개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템 | |
KR101570633B1 (ko) | 반도체 재료 프로세싱 장치용 저-입자 성능을 갖는 샤워헤드 전극 및 샤워헤드 전극 어셈블리 | |
KR101364319B1 (ko) | 반경방향 온도 제어 성능을 갖는 정전 척 | |
KR102411050B1 (ko) | 엠보싱된 상단 플레이트 및 냉각 채널들을 갖는 정전 척 | |
KR102188409B1 (ko) | 기판 처리 장치 및 탑재대 | |
KR102196208B1 (ko) | 유도성 결합 플라즈마 프로세싱 장치의 절연된 유전체 윈도우 어셈블리 | |
KR20110081325A (ko) | 감소된 침식 민감도를 가지는 공정 키트 | |
US11031273B2 (en) | Physical vapor deposition (PVD) electrostatic chuck with improved thermal coupling for temperature sensitive processes | |
TW202209395A (zh) | 具有整合式密封件的冷卻邊緣環 | |
JP4519576B2 (ja) | プラズマエッチング装置用基台及びこれを備えたプラズマエッチング装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
AMND | Amendment | ||
E902 | Notification of reason for refusal | ||
AMND | Amendment | ||
E601 | Decision to refuse application | ||
X091 | Application refused [patent] | ||
AMND | Amendment | ||
X701 | Decision to grant (after re-examination) | ||
GRNT | Written decision to grant |