CN103681304B - 电容耦合等离子体处理装置中的喷头电极组件 - Google Patents

电容耦合等离子体处理装置中的喷头电极组件 Download PDF

Info

Publication number
CN103681304B
CN103681304B CN201310442286.4A CN201310442286A CN103681304B CN 103681304 B CN103681304 B CN 103681304B CN 201310442286 A CN201310442286 A CN 201310442286A CN 103681304 B CN103681304 B CN 103681304B
Authority
CN
China
Prior art keywords
volume space
individually controllable
showerhead electrode
gas volume
heat transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310442286.4A
Other languages
English (en)
Other versions
CN103681304A (zh
Inventor
南尚纪
拉金德尔·迪恩赛
赖安·拜斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN103681304A publication Critical patent/CN103681304A/zh
Application granted granted Critical
Publication of CN103681304B publication Critical patent/CN103681304B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了一种电容耦合等离子体处理装置中的喷头电极组件,具体涉及用在电容耦合等离子体处理装置中包括传热板的喷头电极组件。该传热板具有独立可控的气体容积空间,该气体容积空间可被增压以局部控制加热器构件和冷却构件之间的热导率,使得可在喷头电极组件的暴露于等离子体的表面上形成均匀的温度。

Description

电容耦合等离子体处理装置中的喷头电极组件
技术领域
本发明涉及等离子体处理装置,其中传热板用来控制被支撑在电容耦合等离子体处理装置中的喷头电极组件的温度均匀性。
背景技术
半导体衬底(“衬底”)制造往往包括将衬底暴露于等离子体以允许等离子体的反应性组分修改该衬底的表面,例如,从衬底表面的无保护区域移除材料。由等离子体制造工艺导致的衬底特征依赖于工艺条件,该工艺条件包括等离子体特性和衬底温度。例如,在一些等离子体工艺中,衬底表面上的临界尺寸(即,特征宽度)可能每摄氏度衬底温度改变约一纳米。应当知道,在其它条件相同的衬底制造工艺之间,衬底温度的差异会导致不同的衬底表面特征。因此,在等离子体处理过程中,衬底温度的变化可引起不同衬底间的工艺结果的偏差。另外,中心到边缘的衬底温度变化可对每个衬底的裸片良率产生不利影响。
衬底制造中的总体目标是要优化每个衬底的裸片良率以及要以尽可能相同的方式制造通用型的每个衬底。为了满足这些目标,必须控制影响横贯(across)单个衬底的等离子体处理特性和通用型的不同衬底之间的等离子体处理特性的制造参数。因为等离子体组分的反应性与温度成比例,所以衬底的温度和暴露于等离子体的表面(plasmaexposed surface)的温度可对横贯衬底的等离子体处理结果和在不同衬底之间的等离子体处理结果有很大的影响。因此,在等离子体制造工艺中存在对改进温度控制的持续需求。
发明内容
此处所公开的是等离子体处理室的喷头电极组件,其包括喷头电极、被构造来支撑所述喷头电极的温度受控的顶板、被设置在所述温度受控的顶板和所述喷头电极之间的加热器板、以及传热板。所述传热板被设置在所述喷头电极和所述温度受控的顶板之间,其中所述传热板包括多个独立可控的气体容积空间(volume),所述多个独立可控的气体容积空间中的每一个被限定为与所述多个独立可控的气体容积空间中的其它气体容积空间流体地隔离,使得所述多个独立可控的气体容积空间中的任意给定的一个内的气压不影响所述多个独立可控的气体容积空间中的任何其它气体容积空间内的另一气压。
另外,此处所公开的是电容耦合等离子体处理装置,其包括真空室、适于接收半导体衬底的下电极组件、以及如上所述的喷头电极组件。至少一个真空端口被设置在所述真空室的底壁中,且被连接到能够操作来使所述真空室维持在预定真空压强下的至少一个真空泵。气体源通过所述喷头电极组件将工艺气体供应给所述真空室且RF能量源被配置来将所述工艺气体激励成等离子体状态。
进一步地,此处所公开的是在电容耦合等离子体处理装置中处理半导体衬底的方法。该方法包括将半导体衬底置于所述真空室内的所述下电极组件的上表面上。所述传热板中的每一个独立可控的气体容积空间被维持在预定压强以产生横贯所述喷头电极的暴露于等离子体的表面的理想温度分布。横贯所述喷头电极的所述暴露于等离子体的表面的温度被确定且每一个独立可控的气体容积空间中的压强被调节以补偿沿所述喷头电极的所述暴露于等离子体的表面的温度梯度。工艺气体从气体供应源被供应到所述真空室中,所述气体被激励成等离子体状态,且接着用所述等离子体蚀刻所述半导体衬底。
附图说明
图1描绘了根据本文所描述的电极组件的优选实施方式可以使用的示例性等离子体处理装置。
图2A、B示出了喷头电极组件的优选实施方式的剖面图。
图3A、B示出了传热板的示例性实施方式。
具体实施方式
本文所公开的是电容耦合等离子体处理装置的喷头电极组件,现在将参考本发明的如附图所示的一些优选实施方式详细描述该喷头电极组件。在下面的描述中,许多具体细节被阐述以便提供对本发明的透彻理解。但显而易见的是,对本领域技术人员而言,这些实施方式可在没有这些具体细节中的一些或全部的情况下被实施。另一方面,公知的工艺步骤和/或结构不会被详细描述以免不必要地模糊本发明。本文所使用的术语“约”应当解释为包括所列举的值上浮或下浮10%的值。
图1描绘了可被用来实施本文所描述的组件的优选实施方式的示例性等离子体处理装置100。该等离子体处理装置是电容耦合等离子体处理真空室,其可产生等离子体。等离子体处理装置100包括真空室102,真空室102包括室壁103。室壁103的内表面优选地是阳极化铝和/或具有耐等离子体材料涂层,如热喷涂氧化钇涂层。真空室102包括提供在室壁103中的衬底传送狭缝118以传送半导体衬底进出真空室102。
真空室102可包括具有暴露于等离子体的表面108的喷头电极组件104。喷头电极组件104可具有单件电极或多件电极。例如,喷头电极组件104可具有包括喷头电极板的单件构造,或者其可包括喷头电极板和外电极环。在后一种实施方式中,喷头电极板和外电极环可任选地通过接合材料(如弹性体材料)与其接合的或者用合适的紧固件紧固在一起的石墨或金属(比如铝)板支撑。喷头电极组件104可被设定尺寸以处理例如200mm的半导体衬底、300mm的衬底、甚或更大的衬底。喷头电极组件104的喷头电极板(包括多件构造中的外电极环)可以是硅(例如,单晶硅、多晶硅或无定形硅)或碳化硅的。装置100包括用于供应工艺气体给喷头电极组件104的气体源(未图示)。喷头电极组件104优选地由RF供应源106经由匹配网络供电。在另一实施方式中,喷头电极组件104的喷头电极板可接地以提供用于由包括在真空室102的衬底支撑件111中的下电极供应的功率的返回路径,如下所述。
在图1所示的装置100的实施方式中,工艺气体被供应到真空室102中,在喷头电极组件104和被支撑在衬底支撑件111上的半导体衬底10之间形成的等离子体区域处。衬底支撑件111优选地包括通过静电夹持力将半导体衬底10固定在衬底支撑件111上的静电卡盘114(“ESC”)。在实施方式中,ESC114可用作下电极且优选地被RF功率源116偏置(通常通过匹配网络)。ESC114的上表面115优选地具有与半导体衬底10大约相同的直径。
在实施方式中,ESC114可进一步包括内嵌的温度控制模块,该温度控制模块包括多个通道(未图示)以提供加热/冷却区域。可以使用的示例性温度控制模块可在共同拥有的美国专利No.8,083,855中找到,在此通过参考将其全文并入。
衬底支撑件111可进一步包括用于横贯喷头电极组件104的暴露于等离子体的表面108测量温度的至少一个温度传感器150。温度传感器150可以是激光干涉仪或者其它合适的传感器,且优选地被连接到用于处理由所述传感器获得的温度测量结果的控制器。在替代实施方式中,温度传感器150可被并入喷头电极组件104中。
真空室102可包括连接到至少一个真空泵(未图示)的至少一个真空端口(未图示)。真空泵适于在真空室102内维持预定的真空压强。工艺气体和反应副产品通常朝由箭头110所示的方向被所述泵抽出。
可以使用的示例性电容耦合等离子体反应室是双频等离子体蚀刻反应器(参见例如共同受让的美国专利No.6,090,304,在此通过参考将该专利的全文并入)。在这样的反应器中,蚀刻气体可从气体供应源被供应给喷头电极,而通过从两个RF源供应RF能量给喷头电极和/或下电极可在反应器中产生等离子体,或者喷头电极可电气接地而处于两个不同频率的RF能量可被供应给下电极。
图2A示出了被用在电容耦合等离子体室中的喷头电极组件104的实施方式的剖面图,喷头电极组件104包括喷头电极303和固定到喷头电极303的可选的背衬构件302、加热器板304、以及温度受控的顶板301。加热器板304可具有任选的外加热器构件304a。喷头电极303被设置在支撑半导体衬底10的衬底支撑件111上方(见图1)。
温度受控的顶板301可形成等离子体处理装置的可移开的顶壁。喷头电极303可包括内电极构件、以及可选的外电极构件(未图示)。内电极构件通常由单晶硅制成。如果需要,内电极和外电极可由单件材料(如CVD碳化硅、单晶硅或其它合适材料)制成。
内电极构件可具有小于、等于、或大于待处理的半导体衬底的直径,例如,直至200mm。就处理较大的半导体衬底(如300mm衬底或更大的衬底)而言,外电极构件适用于扩大喷头电极303的直径。外电极构件可以是连续的构件(如,多晶硅或碳化硅构件,比如环)、或者是分段的构件(如,布置为环构造的2-6个分离的区段,比如单晶硅的分段)。替代地,该喷头可以是整体部件。
喷头电极303优选地包括用于将工艺气体注入喷头电极303下方的真空室102中的空间的多个气体通道。外电极优选地可在喷头电极303的外围形成凸起的台阶。台阶式电极的进一步的细节可在共同拥有的美国专利No.6,824,627中找到,其公开内容通过参考并入此处。
在实施方式中,喷头电极组件104包括用于控制喷头电极组件104中的热传递的传热板220。传热板220被设置在加热器板304和温度受控顶板301之间且适于包含传热气体,传热气体可被增压以增加加热器板304和温度受控顶板301之间的热导率。在替代实施方式中,如图2B中所示,传热板220可被设置在加热器板304和喷头电极303之间。传热板220包括多个气体容积空间(gas volume),其中每一个气体容积空间是独立可控的使得任意给定的气体容积空间内的气压不影响该多个独立可控的气体容积空间中的任何其它气体容积空间内的另一气压。
当传热板220中的独立可控的气体容积空间经历气压的增加时,邻近传热板220的元件(比如举例来说,温度受控顶板和加热器板)之间的热耦合也增加。热耦合的增加可被用来快速加热喷头电极组件104以便为半导体衬底处理作准备,或者可被用来补偿横贯喷头电极组件104的暴露于等离子体的表面的热梯度并提供更均匀的蚀刻结果。此外,气体可从传热板220的独立可控的气体容积空间排出,其中传热板220用作为绝缘体,而喷头电极组件104中的温度可被维持。
多个独立可控的气体容积空间可保持增压的传热气体,例如,氦、氖、氩、氮、或其混合物。优选地,所用的传热气体是氦。导气管(未图示)被提供在温度受控的顶板301中以与各个独立可控的气体容积空间流体连通。在等离子体处理过程中,传热气体可经由导气管被供应或排出,以在多个独立可控的气体容积空间内达到指定气压。
所述气体容积空间被优选地排布以横贯传热板220的至少一部分径向地和/或呈环形地延伸。通过控制多个独立可控的气体容积空间中的每一个内的气压,并因此控制温度受控顶板301和加热器板304之间或者替代地加热器板304和喷头电极303之间的热导率,可在喷头电极303的暴露于等离子体的表面上形成指定的径向温度梯度。在一实施方式中,特定的独立可控的气体容积空间内的气压可被控制在从约0托扩展至约1个大气压的范围内。优选地,特定的独立可控的气体容积空间内的气压可在从约0托扩展至约10托的范围内。在一实施方式中,氦气被供应给各气体容积空间。但是,在其它实施方式中,其它类型的气体或气体混合物(例如,氮)可被供应给各气体容积空间。
图3A、B示出了传热板220的实施方式的俯视图。传热板包括多个独立可控的气体容积空间,该多个独立可控的气体容积空间中的每一个被限定为与该多个独立可控的气体容积空间中的其它气体容积空间流体地隔离。该多个独立可控的气体容积空间中的任意给定的一个内的气压不影响该多个独立可控的气体容积空间中的任何其它气体容积空间内的另一气压。
如图3A中所示,传热板220可包括16个径向延伸的独立可控的气体容积空间。所述气体容积空间中的8个位于传热板220的内侧区域401中而剩余的8个气体容积空间则位于该传热板的外侧区域402中。每一个独立可控的气体容积空间围绕传热板220的圆周延伸约38°-45°。
图3B示出了传热板220,其中传热板220包括位于传热板220的中心处的第一圆筒形独立可控的气体容积空间420以及自第一圆筒形独立可控的气体容积空间径向向外的3个同中心的环形的独立可控的气体容积空间421a、b、c。但显然,对本领域技术人员而言,传热板220可具有多于三个或少于三个的同中心的环形的独立可控的气体容积空间。
另外,虽然传热板220被描述为具有径向延伸的温度控制容积空间(见图3A),但应当知道的是,在其它实施方式中,传热板220内的各个独立可控的气体容积空间可被限定为与非径向的几何构造对应。例如,在其它实施方式中,传热板220内的各个气体容积空间可被限定在六角划分的(hexagonally divided)构造中或者被限定在四角划分的(quadrant divided)构造中。
在喷头电极组件中,传热板220可局部增加或降低加热器板304和温度受控顶板301之间或替代地加热器板304和喷头电极303之间的热导率。对喷头电极组件中的热导率的更大的控制允许横贯等离子体处理装置中的喷头电极组件的暴露于等离子体的表面获得更均匀的温度。
再参考图1,半导体衬底10在电容耦合等离子体处理装置100中被处理。处理的方法包括将半导体衬底10置于真空室102内的衬底支撑件111的上表面113上。接着,传热板202中的每一个独立可控的气体容积空间被增压至预定压强以获得横贯喷头电极组件104的暴露于等离子体的表面108的理想温度分布。然后,横贯喷头电极组件104的暴露于等离子体的表面108的温度的测量结果被确定且每一个独立可控的气体容积空间中的压强被原位调节以补偿横贯喷头电极的暴露于等离子体的表面的温度梯度。接着,工艺气体从气体供应源被供应到真空室102中,该工艺气体被激励成等离子体状态,并用该等离子体蚀刻半导体衬底。
在替代实施方式中,横贯暴露于等离子体的表面108的温度梯度在蚀刻的同时被测量,且每一个独立可控的气体容积空间中的压强的原位调节通过减少沿喷头电极组件104的暴露于等离子体的表面108的温度梯度被完成以增强蚀刻的均匀性。
虽然已参考本发明的具体实施方式对本发明进行了详细描述,但对本领域技术人员而言,显然可以进行各种改变和修改,且可采用等同方式,却不会背离所附权利要求书的范围。

Claims (22)

1.一种等离子体处理室的喷头电极组件,其包括:
喷头电极;
温度受控的顶板,其被构造来支撑所述喷头电极;
加热器板,其被设置在所述温度受控的顶板和所述喷头电极之间;以及
传热板,其被设置在所述喷头电极和所述温度受控的顶板之间,其中所述传热板包括多个独立可控的气体容积空间,所述多个独立可控的气体容积空间中的每一个与所述多个独立可控的气体容积空间中的其它气体容积空间流体地隔离,使得所述多个独立可控的气体容积空间中的任意给定的一个内的气压不影响所述多个独立可控的气体容积空间中的任何其它气体容积空间内的另一气压,其中横贯所述传热板的热导率分布是通过所述独立可控的气体容积空间可控的。
2.如权利要求1所述的喷头电极组件,其中所述传热板被设置在所述温度受控顶板和所述加热器板之间。
3.如权利要求1所述的喷头电极组件,其中所述传热板被设置在所述加热器板和所述喷头电极之间。
4.如权利要求1所述的喷头电极组件,其中所述独立可控的气体容积空间能够具有径向构造或非径向构造。
5.如权利要求1所述的喷头电极组件,其中所述传热板包括16个径向延伸的独立可控的气体容积空间,其中8个气体容积空间位于所述传热板的内侧区域中,8个气体容积空间位于所述传热板的外侧区域中,每一个独立可控的气体容积空间围绕所述传热板的圆周延伸38°至45°。
6.如权利要求1所述的喷头电极组件,其中所述传热板包括第一圆筒形独立可控的气体容积空间以及自所述第一圆筒形独立可控的气体容积空间径向向外的3个同中心的环形的独立可控的气体容积空间。
7.如权利要求1所述的喷头电极组件,其中供应给所述传热板的所述独立可控的气体容积空间的气体是氦、氖、氩、氮、或其混合物。
8.如权利要求1所述的喷头电极组件,其进一步包括至少一个传感器,所述至少一个传感器被配置来确定横贯所述喷头电极的暴露于等离子体的表面的温度梯度。
9.如权利要求1所述的喷头电极组件,其中所述独立可控的气体容积空间中的每一个能被增压至0托至1个大气压的范围内。
10.如权利要求1所述的喷头电极组件,其中所述独立可控的气体容积空间中的每一个能被增压至0托至10托的范围内。
11.如权利要求1所述的喷头电极组件,其中所述独立可控的气体容积空间能够具有六角划分构造、八角划分构造、或者四角划分构造。
12.一种电容耦合等离子体处理装置,其包括:
真空室;
适于接收半导体衬底的下电极组件;
如权利要求1所述的喷头电极组件;
底壁中的至少一个真空端口,其被连接到能够操作来使所述真空室维持在预定真空压强下的至少一个真空泵;
气体源,其能够操作来通过所述喷头电极组件将工艺气体供应给所述真空室;以及
RF能量供应源,其被配置来将所述工艺气体激励成等离子体状态。
13.如权利要求12所述的电容耦合等离子体处理装置,其中所述传热板被设置在所述温度受控的顶板和所述加热器板之间或者所述传热板被设置在所述加热器板和所述喷头电极之间。
14.如权利要求12所述的电容耦合等离子体处理装置,其中所述传热板的所述独立可控的气体容积空间能够具有径向构造或非径向构造。
15.如权利要求12所述的电容耦合等离子体处理装置,其中所述传热板包括16个径向延伸的独立可控的气体容积空间,其中8个气体容积空间位于所述传热板的内侧区域中,8个气体容积空间位于所述传热板的外侧区域中,每一个独立可控的气体容积空间围绕所述传热板的圆周延伸38°至45°。
16.如权利要求12所述的电容耦合等离子体处理装置,其中所述传热板包括第一圆筒形独立可控的气体容积空间以及自所述第一圆筒形独立可控的气体容积空间径向向外的3个同中心的环形的独立可控的气体容积空间。
17.如权利要求12所述的电容耦合等离子体处理装置,其中所述独立可控的气体容积空间中的每一个能被增压至0托至1个大气压的范围内。
18.如权利要求12所述的电容耦合等离子体处理装置,其中所述独立可控的气体容积空间中的每一个能被增压至0托至10托的范围内。
19.如权利要求12所述的电容耦合等离子体处理装置,其进一步包括至少一个传感器,所述至少一个传感器被配置来确定横贯所述喷头电极的暴露于等离子体的表面的温度梯度。
20.如权利要求12所述的电容耦合等离子体处理装置,其中所述传热板的所述独立可控的气体容积空间能够具有六角划分构造、八角划分构造、或者四角划分构造。
21.一种使用如权利要求12所述的电容耦合等离子体处理装置蚀刻电容耦合等离子体处理装置中的半导体衬底的方法,其包括:
将半导体衬底置于所述真空室内的所述下电极组件的上表面上;
使所述喷头电极组件中所包括的所述传热板中的每一个独立可控的气体容积空间增压至预定压强以产生横贯所述喷头电极的暴露于等离子体的表面的理想温度分布;
横贯所述喷头电极的所述暴露于等离子体的表面测量至少一个温度;
原位调节每一个独立可控的气体容积空间中的所述压强以补偿横贯所述喷头电极的所述暴露于等离子体的表面的温度梯度;
从气体供应源将气体供应到所述真空室中;以及
将所述气体激励成等离子体状态并用所述等离子体蚀刻所述半导体衬底。
22.如权利要求21所述的方法,其进一步包括在蚀刻的同时测量横贯所述暴露于等离子体的表面的温度梯度,并通过减少沿所述喷头电极的所述暴露于等离子体的表面的温度梯度来原位调节每一个独立可控的气体容积空间中的所述压强以达到更均匀的蚀刻。
CN201310442286.4A 2012-09-24 2013-09-24 电容耦合等离子体处理装置中的喷头电极组件 Active CN103681304B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/625,555 2012-09-24
US13/625,555 US9018022B2 (en) 2012-09-24 2012-09-24 Showerhead electrode assembly in a capacitively coupled plasma processing apparatus

Publications (2)

Publication Number Publication Date
CN103681304A CN103681304A (zh) 2014-03-26
CN103681304B true CN103681304B (zh) 2016-09-28

Family

ID=50318500

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310442286.4A Active CN103681304B (zh) 2012-09-24 2013-09-24 电容耦合等离子体处理装置中的喷头电极组件

Country Status (4)

Country Link
US (3) US9018022B2 (zh)
KR (1) KR102236646B1 (zh)
CN (1) CN103681304B (zh)
TW (1) TWI608534B (zh)

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102011535B1 (ko) 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) * 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106922071B (zh) * 2015-12-25 2019-10-01 中微半导体设备(上海)股份有限公司 一种用于等离子反应装置的喷淋头加热冷却装置及方法
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
JP6675260B2 (ja) * 2016-04-27 2020-04-01 東京エレクトロン株式会社 変圧器、プラズマ処理装置、及び、プラズマ処理方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6640040B2 (ja) * 2016-06-23 2020-02-05 株式会社ニューフレアテクノロジー 伝熱板および描画装置
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20220065843A (ko) * 2019-09-23 2022-05-20 램 리써치 코포레이션 예열된 샤워헤드를 포함하는 저온 플라즈마 강화된 화학적 기상 증착 (pecvd) 프로세스
CN112951694B (zh) * 2019-11-26 2024-05-10 中微半导体设备(上海)股份有限公司 等离子体处理装置及其半导体晶圆的处理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1359531A (zh) * 1999-06-30 2002-07-17 兰姆研究公司 半导体加工的气体分布装置
CN1950545A (zh) * 2004-04-30 2007-04-18 兰姆研究公司 包括喷头电极和加热器的用于等离子处理的设备
CN101268544A (zh) * 2005-09-23 2008-09-17 朗姆研究公司 具有改进的微粒性能的主动加热铝挡板部件及其应用和制造方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
AU2002240261A1 (en) 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US7156951B1 (en) 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
JP2005166354A (ja) 2003-12-01 2005-06-23 Ngk Insulators Ltd セラミックヒーター
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7501605B2 (en) 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7671412B2 (en) 2007-02-15 2010-03-02 Tokyo Electron Limited Method and device for controlling temperature of a substrate using an internal temperature control device
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
WO2009058376A2 (en) 2007-10-31 2009-05-07 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US7972444B2 (en) 2007-11-07 2011-07-05 Mattson Technology, Inc. Workpiece support with fluid zones for temperature control
US20100078151A1 (en) 2008-09-30 2010-04-01 Osram Sylvania Inc. Ceramic heat pipe with porous ceramic wick
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP5198226B2 (ja) 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置
JP5479867B2 (ja) 2009-01-14 2014-04-23 東京エレクトロン株式会社 誘導結合プラズマ処理装置
KR101658758B1 (ko) * 2009-02-20 2016-09-21 엔지케이 인슐레이터 엘티디 세라믹스-금속 접합체 및 그 제조 방법
US8038855B2 (en) 2009-04-29 2011-10-18 Freeport-Mcmoran Corporation Anode structure for copper electrowinning
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1359531A (zh) * 1999-06-30 2002-07-17 兰姆研究公司 半导体加工的气体分布装置
CN1950545A (zh) * 2004-04-30 2007-04-18 兰姆研究公司 包括喷头电极和加热器的用于等离子处理的设备
CN101268544A (zh) * 2005-09-23 2008-09-17 朗姆研究公司 具有改进的微粒性能的主动加热铝挡板部件及其应用和制造方法

Also Published As

Publication number Publication date
US20140087488A1 (en) 2014-03-27
TWI608534B (zh) 2017-12-11
US9018022B2 (en) 2015-04-28
US9396910B2 (en) 2016-07-19
US9245718B2 (en) 2016-01-26
KR102236646B1 (ko) 2021-04-06
KR20140040655A (ko) 2014-04-03
US20150194291A1 (en) 2015-07-09
TW201421575A (zh) 2014-06-01
CN103681304A (zh) 2014-03-26
US20160079041A1 (en) 2016-03-17

Similar Documents

Publication Publication Date Title
CN103681304B (zh) 电容耦合等离子体处理装置中的喷头电极组件
CN106449503B (zh) 陶瓷加热器和具有增强的晶片边缘性能的esc
KR102009595B1 (ko) 플라즈마 처리 챔버에서 갭 높이 및 평탄화 조정을 제공하는 기판 서포트
US8633423B2 (en) Methods and apparatus for controlling substrate temperature in a process chamber
KR102411050B1 (ko) 엠보싱된 상단 플레이트 및 냉각 채널들을 갖는 정전 척
JP6154390B2 (ja) 静電チャック
TWI416623B (zh) 具有單一平面天線之電感耦合雙區域處理腔室
US9171702B2 (en) Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
TW201713794A (zh) 具有減少的背側電漿點火的噴淋頭
JP2015501546A5 (zh)
KR102196208B1 (ko) 유도성 결합 플라즈마 프로세싱 장치의 절연된 유전체 윈도우 어셈블리
WO2013006407A1 (en) Electrostatic chuck assembly
TW201842620A (zh) 具有改良的處理均勻性之基板支撐件
CN102315150A (zh) 用于等离子体处理室的可移动基环
WO2020118104A1 (en) Electrostatic chuck with improved thermal coupling for temperature sensitive processes
CN113808968A (zh) 边缘环和等离子体处理装置
US11424107B2 (en) Temperature-controlled plasma generation system
US20220367153A1 (en) Temperature-controlled plasma generation system

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant