KR20190043645A - 넓은 범위의 온도 제어를 위한 히터 페디스털 어셈블리 - Google Patents

넓은 범위의 온도 제어를 위한 히터 페디스털 어셈블리 Download PDF

Info

Publication number
KR20190043645A
KR20190043645A KR1020197011339A KR20197011339A KR20190043645A KR 20190043645 A KR20190043645 A KR 20190043645A KR 1020197011339 A KR1020197011339 A KR 1020197011339A KR 20197011339 A KR20197011339 A KR 20197011339A KR 20190043645 A KR20190043645 A KR 20190043645A
Authority
KR
South Korea
Prior art keywords
semiconductor processing
processing chamber
pedestal
pedestal assembly
assembly
Prior art date
Application number
KR1020197011339A
Other languages
English (en)
Other versions
KR102236934B1 (ko
Inventor
카우식 알라야발리
아지트 바라크리쉬나
산지브 바루자
아미트 쿠마르 반살
매튜 제임스 부셰
주안 카를로스 로차-알바레즈
스와미나탄 티. 스리니바산
테자스 울라비
지안화 조우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190043645A publication Critical patent/KR20190043645A/ko
Application granted granted Critical
Publication of KR102236934B1 publication Critical patent/KR102236934B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 개시내용의 구현들은 일반적으로 반도체 처리 챔버에 관한 것으로, 보다 구체적으로는 반도체 처리 챔버용 가열 지지 페디스털에 관한 것이다. 일 구현에서, 페디스털 어셈블리가 개시되며, 페디스털 어셈블리는, 유전체 재료를 포함하며 기판을 수용하기 위한 지지 표면을 갖는 기판 지지부, 기판 지지부 내에 캡슐화된 저항성 히터, 중공 샤프트 ― 중공 샤프트는 그 샤프트의 제1 단부에서 기판 지지부의 지지 부재에 결합됨 ―, 및 지지 부재와 샤프트의 제1 단부 사이의 계면에 배치된 열 전도성 재료를 포함한다.

Description

넓은 범위의 온도 제어를 위한 히터 페디스털 어셈블리
[0001] 본 명세서에서 개시되는 구현들은 일반적으로 반도체 처리 챔버에 관한 것으로, 보다 구체적으로는 반도체 처리 챔버용 가열 지지 페디스털 어셈블리에 관한 것이다.
[0002] 반도체 처리는 극히 작은 집적 회로들이 기판 상에 생성되게 하는 다수의 상이한 화학적 및 물리적 프로세스들을 수반한다. 집적 회로를 구성하는 재료들의 층들은 화학 기상 증착, 물리 기상 증착, 에피택셜 성장 등을 포함하는 프로세스들에 의해 생성된다. 재료 층들 중 일부는 포토 레지스트 마스크들 및 습식 또는 건식 에칭 기술들을 사용하여 패터닝된다. 집적 회로들을 형성하는 데 이용되는 기판들은 실리콘, 갈륨 비소, 인화 인듐, 유리 또는 다른 적절한 재료들일 수 있다.
[0003] 집적 회로들의 제조시, 다양한 재료 층들의 증착 또는 에칭에 플라즈마 프로세스들이 종종 사용된다. 플라즈마 처리는 열 처리보다 많은 이점들을 제공한다. 예를 들어, 플라즈마 강화 화학 기상 증착(PECVD: plasma enhanced chemical vapor deposition)은 유사한 열 프로세스들에서 달성할 수 있는 것보다 더 낮은 온도들에서 그리고 더 높은 증착 속도들로 증착 프로세스들이 수행될 수 있게 한다. 따라서 PECVD는 엄격한 열 예산들을 갖는 집적 회로 제작에, 이를테면 매우 대규모 또는 초대규모 집적 회로(VLSI 또는 ULSI) 디바이스 제작에 유리하다.
[0004] 이러한 프로세스들에 사용되는 처리 챔버들은 통상적으로, 처리 동안 기판을 지지하기 위해 그 안에 배치된 기판 지지부 또는 페디스털을 포함한다. 일부 프로세스들에서, 페디스털은 기판의 온도를 제어하고 그리고/또는 프로세스에서 사용될 수 있는 상승 온도들을 제공하도록 적응된 내장형 히터를 포함할 수 있다. 특히 집적 회로들의 크기가 감소함에 따라, 기판 처리 동안 기판의 적절한 온도 제어 및 균일한 가열이 매우 중요하다. 내장형 히터들을 갖는 종래의 지지부들은 종종, 기판 상에 증착된 막들의 품질에 영향을 미치는 다수의 과열점들 및 냉각점들을 갖는다.
[0005] 따라서 능동적인 온도 제어를 제공하는 페디스털 어셈블리가 필요하다.
[0006] 본 개시내용의 구현들은 일반적으로 반도체 처리 챔버에 관한 것으로, 보다 구체적으로는 반도체 처리 챔버용 가열 지지 페디스털에 관한 것이다. 일 구현에서, 페디스털 어셈블리가 개시되며, 페디스털 어셈블리는, 유전체 재료를 포함하며 기판을 수용하기 위한 지지 표면을 갖는 기판 지지부, 기판 지지부 내에 캡슐화된 저항성 히터, 중공 샤프트 ― 중공 샤프트는 그 샤프트의 제1 단부에서 기판 지지부의 지지 부재에 결합됨 ―, 및 지지 부재와 샤프트의 제1 단부 사이의 계면에 배치된 열 전도성 재료를 포함한다.
[0007] 다른 구현에서, 반도체 처리 챔버용 페디스털 어셈블리가 개시되며, 이 반도체 처리 챔버용 페디스털 어셈블리는, 유전체 재료를 포함하며 기판을 수용하기 위한 지지 표면을 갖는 기판 지지부, 기판 지지부 내에 캡슐화된 저항성 히터, 중공 코어를 가지며 중공 코어의 제1 단부에서 기판 지지부의 지지 부재에 결합되는 샤프트, 및 가열 엘리먼트와 냉각 채널 어셈블리 사이에 포지셔닝된 에어 갭을 포함하는 열 브레이크를 포함한다.
[0008] 다른 구현에서, 반도체 처리 챔버용 페디스털 어셈블리가 개시되며, 이 반도체 처리 챔버용 페디스털 어셈블리는, 유전체 재료를 포함하며 기판을 수용하기 위한 지지 표면을 갖는 기판 지지부, 기판 지지부 내에 캡슐화된 저항성 히터, 중공 코어를 가지며 중공 코어의 제1 단부에서 기판 지지부의 지지 부재에 결합되는 샤프트, 가열 엘리먼트와 냉각 채널 어셈블리 사이에 포지셔닝된 에어 갭을 포함하는 열 브레이크, 및 지지 부재와 중공 코어의 제1 단부 사이의 계면에 배치된 열 전도성 재료를 포함한다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 구현들을 참조로 하여 이루어질 수 있는데, 이러한 구현들의 일부는 첨부된 도면들에 예시되어 있다. 그러나 첨부된 도면들은 본 개시내용의 단지 전형적인 구현들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0010] 도 1은 플라즈마 시스템의 일 구현의 부분 단면도이다.
[0011] 도 2a는 도 1의 플라즈마 시스템에 이용될 수 있는 페디스털 어셈블리의 일 구현의 등각 평면도이다.
[0012] 도 2b는 도 2a의 페디스털 어셈블리의 등각 저면도이다.
[0013] 도 3a는 도 1 - 도 2b의 페디스털 어셈블리로서 사용될 수 있는 페디스털 어셈블리의 다른 구현의 측단면도이다.
[0014] 도 3b는 도 3a의 페디스털 어셈블리의 확대 단면도이다.
[0015] 도 3c는 도 3a의 페디스털 어셈블리의 확대 단면도이다.
[0016] 도 4a 및 도 4b는 지지 부재를 샤프트에 결합하는 데 사용될 수 있는 서로 다른 타입들의 패스너 어셈블리들을 도시하는 페디스털 어셈블리의 일부의 단면도들이다.
[0017] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 가리키는 데, 가능한 경우, 동일한 참조 부호들이 사용되었다. 한 구현의 엘리먼트들 및 특징들은 추가 언급 없이 다른 구현들에 유리하게 포함될 수 있다는 것이 고려된다.
[0018] 본 개시내용의 구현들은 일반적으로 반도체 처리 챔버에 관한 것으로, 보다 구체적으로는 반도체 처리 챔버용 가열 지지 페디스털에 관한 것이다. 본 명세서에서 개시되는 구현들은 아래에서 플라즈마 챔버들을 참조로 예시적으로 설명된다. 일 구현에서, 플라즈마 챔버는 플라즈마 강화 화학 기상 증착(PECVD) 시스템에 이용된다. 본 명세서에서 설명되는 구현들로부터 이익을 얻도록 적응될 수 PECVD 시스템들의 예들은 PRODUCER® SE CVD 시스템, PRODUCER® GT™ CVD 시스템 또는 DXZ® CVD 시스템을 포함하는데, 이들은 모두 California, Santa Clara 소재의 Applied Materials, Inc.로부터 상업적으로 입수할 수 있다. Producer® SE CVD 시스템(예컨대, 200㎜ 또는 300㎜)은 기판들에 전도성 막들, 실란들, 탄소 도핑 실리콘 산화물들 및 다른 재료들과 같은 박막들을 증착하는 데 사용될 수 있는 2개의 격리된 처리 영역들을 갖는다. 예시적인 구현은 2개의 처리 영역들을 포함하지만, 본 명세서에서 설명된 구현들은 단일 처리 영역 또는 2개보다 많은 처리 영역들을 갖는 시스템들에서 유리하게 사용될 수 있다는 것이 고려된다. 본 명세서에서 설명되는 구현들은 특히, 에칭 챔버들, 이온 주입 챔버들, 플라즈마 처리 챔버들 및 스트리핑 챔버들을 포함하는 다른 플라즈마 챔버들에서 유리하게 이용될 수 있다는 것이 또한 고려된다. 본 명세서에서 설명되는 구현들은 다른 제조사들로부터 입수할 수 있는 플라즈마 처리 챔버들에서 유리하게 이용될 수 있다는 것이 추가로 고려된다.
[0019] 본 명세서에서 설명되는 페디스털의 구현들은 완전한 프로세스 사이클에 걸쳐 처리 중에 항상 기판의 능동적인 온도 제어에 대한 필요성을 다룬다. 본 명세서에서 설명되는 특정 구현들은 고유 엘리먼트 패턴들로 최소 온도 구배(<10℃)를 갖는 내장형 가열 엘리먼트를 사용하여 400℃ 이상의 온도들에서 보다 높은 온도 제어를 제공한다. 본 명세서에서 설명되는 특정 구현들은 페디스털의 바디를 통해 활성 냉각수를 흐르게 함으로써 RF 결합과 같은 외부 소스 또는 내장형 가열 엘리먼트와 같은 내부 소스로부터 (예컨대, 2,000 와트 이상의) 더 큰 열 부하들을 제거할 수 있다. 본 명세서에서 설명되는 특정 구현들은 페디스털의 바디를 통하는 냉각수의 유속과 함께 히터 엘리먼트의 능동적 제어를 통해 보다 낮은 원하는 온도 구배를 제공한다.
[0020] 본 명세서에서 설명되는 특정 구현들은 기판이 다수의 프로세스들 및 챔버 조건들(예컨대, 히터 면판(faceplate), 챔버 내에서 충돌된 RF 결합, 프로세스 가스들, 화학적 성질 등)에 노출되는 동안 넓은 범위에 걸쳐 기판의 온도를 능동적으로 제어하는 능력을 제공한다. 기판의 능동적 온도 제어는 공간 온도 제어 및/또는 과도(transient) 온도 제어를 포함한다.
[0021] 공간 온도 제어는 기판 내에 또는 기판 상에 원하는 방사상 온도 프로파일을 생성하는 것을 포함한다. 공간 온도 제어는 통상적으로 다수의 동심 히터 존들을 갖는 페디스털에 의해 수행된다. 바람직한 방사상 프로파일은 균일한 온도, 에지-고온 프로파일 또는 에지-저온 프로파일을 포함할 수 있다. 과도 온도 제어는 다양한 외부 열 부하들의 존재시 기판의 바람직한 온도 프로파일을 유지하는 것을 포함한다. 과도 온도 제어는 통상적으로 히터 존 전력들을 적절하게 조절함으로써 달성된다. 능동 온도 제어는 2개의 능동 온도 유동(flux)들을 통해 이루어질 수 있는데; 먼저, 내장형 가열 엘리먼트에 의해 페디스털에 열이 제공되고, 두 번째로 내부 냉각수 경로에 의해 페디스털로부터 열이 제거된다. 따라서 (위에 기판이 놓여 있는) 페디스털 표면의 온도는 이러한 두 유동들의 레벨을 제어함으로써 원하는 온도 세트 포인트로 제어될 수 있다. 가열 엘리먼트에 더 많은 전력을 전달하고 냉각수의 유량을 줄임(또는 냉각수 유입구 온도를 낮춤)으로써 증가된 열이 발생될 수 있고, 또는 그 반대가 이루어져 더 낮은 페디스털 온도를 달성할 수 있다. (가열 엘리먼트로부터 내부의 또는 챔버 또는 프로세스 조건들로부터 외부의) 열원들과 열 배출원(heat drain)(내부 활성 냉각수) 간의 상호 작용들의 제어를 통해 더 넓은 온도 제어 범위가 달성된다. 일 구현에서, 이는 달성될 수 있는 가장 높은 온도를 최대화하도록 기판이 놓이는 지지 표면에 더 가까이 지지부 바디에 가열 엘리먼트를 포지셔닝함으로써 그리고 원하는 양의 열을 배출할 높이로 샤프트의 하부 바디에 냉각 채널을 포지셔닝함으로써 달성된다.
[0022] 정확한 온도 제어 외에도, 사용자들은 간혹 증가된 시스템 스루풋을 필요로 한다. 스루풋을 향상시킬 한 가지 방법은 무선 주파수(RF: radio frequency) 전력을 증가시킴으로써 증착 속도를 증가시키는 것이다. 그러나 RF 전력의 증가는 페디스털 상에 가해진 플라즈마 열 부하의 대응하는 증가를 발생시킨다. 현재 요구되는 RF 전력 레벨들의 경우, 플라즈마 열 부하는 1,000 와트(W)만큼 높을 수 있다.
[0023] 안정적인 기판 온도를 유지하기 위해, 페디스털로의 열 흐름은 페디스털 밖으로의 열 흐름과 균형이 이루어져야 한다. 이 균형을 달성하는 어려움은 프로세스 온도에 따라 다르다. 예를 들어, 높은 작동 온도들(예컨대, 약 650℃)에서는 복사열 손실이 높고 플라즈마 열 부하를 상쇄하기에 충분한 것 이상이다. 그러나 (예컨대, 약 400℃ 미만의) "보통" 온도에서는 복사열 손실이 훨씬 더 낮다(예컨대, 350℃에서 약 300W). 본 명세서에서 설명되는 바와 같은 페디스털 어셈블리의 구현들은 이러한 보통 온도들에서 이용될 수 있다.
[0024] 보통 온도 프로세스들을 위한 종래의 페디스털들은 통상적으로 열 전도를 제한한다. 따라서 열 손실들(방사성 그리고 전도성)의 합은 큰 플라즈마 열 부하들의 균형을 맞추기에 불충분하여, 증착 프로세스 중에 높은 RF 전력이 사용될 때 온도 제어의 손실을 초래한다.
[0025] 일 구현에서, 샤프트에 결합된 페디스털 바디를 포함하는 페디스털 어셈블리가 개시된다. 페디스털 바디는 유전체 재료, 예를 들어 알루미늄 질화물과 같은 세라믹 재료를 포함한다. 샤프트는 전도성(전기적 그리고 열적) 재료, 예를 들어 6061 알루미늄과 같은 알루미늄 합금을 포함한다. 샤프트는 페디스털 바디로부터 열을 제거하는, 내부에 내장된 냉각수 경로를 포함할 수 있다. 샤프트는 열원들로부터 냉각수로의 열 흐름의 상호 작용에 기여하는 높은 열 전도율을 제공한다.
[0026] 도 1은 본 명세서에서 설명되는 구현들에 따른 페디스털 어셈블리(102)를 갖는 예시적인 플라즈마 시스템(100)의 부분 단면도이다. 본 명세서에서 설명되는 바와 같이, 페디스털 어셈블리(102)는 기판이 다수의 프로세스 및 챔버 조건들에 노출되는 동안 넓은 온도 범위에 걸쳐 페디스털 어셈블리(102) 상에 포지셔닝된 기판의 온도의 능동적인 제어를 가능하게 하는 능동 냉각 시스템을 포함한다. 플라즈마 시스템(100)은 일반적으로 측벽들(106), 바닥 벽(108), 그리고 한 쌍의 처리 영역들(112A, 112B)을 한정하는 내부 측벽(110)을 갖는 처리 챔버 바디(104)를 포함한다. 처리 영역들(112A-B) 각각은 유사하게 구성되고, 간결성을 위해 처리 영역(112B)의 컴포넌트들만이 설명될 것이다.
[0027] 페디스털 바디(114)는 챔버 바디(104)의 바닥 벽(108)에 형성된 통로(116)를 통해 처리 영역(112B) 내에 배치된다. 페디스털 바디(114)는 그 상부 표면 상의 (도시되지 않은) 기판을 지지하도록 적응된다. 페디스털 바디(114)는 원하는 프로세스 온도에서 기판 온도를 가열 및 제어하기 위한 가열 엘리먼트들, 예를 들어 저항성 가열 엘리먼트들을 포함할 수 있다. 대안으로, 페디스털 바디(114)는 램프 어셈블리와 같은 원격 가열 엘리먼트에 의해 가열될 수 있다.
[0028] 페디스털 어셈블리(102)는 샤프트(118)에 결합되는 페디스털 바디(114)를 포함한다. 샤프트(118)는 처리 영역(112B) 내에서 페디스털 바디(114)의 상승 및 이동을 제어하는 구동 시스템을 포함할 수 있는 전원 콘센트 또는 전원 박스(120)에 결합된다. 샤프트(118)는 또한 페디스털 바디(114)에 전력을 제공하기 위한 전력 인터페이스들을 포함한다. 샤프트(118)는 내부에 형성된 냉각수 채널을 또한 포함한다. 전원 박스(120)는 또한 열전쌍 인터페이스와 같은 전력 및 온도 표시기들을 위한 인터페이스들을 포함한다. 샤프트(118)는 또한 전원 박스(120)에 분리 가능하게 결합되도록 적응된 베이스 어셈블리(122)를 포함한다. 전원 박스(120) 위에는 원주형 링(124)이 도시되어 있다. 일 구현에서, 원주형 링(124)은 베이스 어셈블리(122)와 전원 박스(120)의 상부 표면 사이에 기계적 인터페이스를 제공하도록 구성된 기계적 정지부 또는 랜드(land)로서 적응된 숄더이다.
[0029] 로드(rod)(126)가 바닥 벽(108)에 형성된 통로(128)를 관통하여 배치되고, 페디스털 바디(114)를 관통하여 배치된 기판 리프트 핀들(130)을 작동시키는 데 이용된다. 기판 리프트 핀들(130)은 기판 이송 포트(132)를 통해 처리 영역(112B) 안으로 그리고 밖으로 기판을 이송하는 데 이용되는 (도시되지 않은) 로봇과 기판의 교환을 가능하게 하도록 기판을 페디스털 바디(114)로부터 선택적으로 이격시킨다.
[0030] 챔버 리드(lid)(134)가 챔버 바디(104)의 최상부에 결합된다. 리드(134)는 이에 결합된 하나 이상의 가스 분배 시스템들(136)을 수용한다. 가스 분배 시스템(136)은 반응물 및 세정 가스들을 샤워 헤드 어셈블리(142)를 통해 처리 영역(112B)으로 전달하는 가스 유입 통로(140)를 포함한다. 샤워 헤드 어셈블리(142)는 면판(146)의 중간에 배치된 차단기 플레이트(148)를 갖는 환형 베이스 플레이트(144)를 포함한다. 무선 주파수(RF) 소스(150)가 샤워 헤드 어셈블리(142)에 결합된다. RF 소스(150)는 샤워 헤드 어셈블리(142)에 전력을 공급하여 샤워 헤드 어셈블리(142)의 면판(146)과 페디스털 바디(114) 사이에서 플라즈마의 발생을 가능하게 한다. 일 구현에서, RF 소스(150)는 13.56㎒ RF 발생기와 같은 고주파 무선 주파수(HFRF: high frequency radio frequency) 전원일 수 있다. 다른 구현에서, RF 소스(150)는 HFRF 전원 및 300㎑ RF 발생기와 같은 저주파 무선 주파수(LFRF: low frequency radio frequency) 전원을 포함할 수 있다. 대안으로, RF 소스는 페디스털 바디(114)와 같은 처리 챔버 바디(104)의 다른 부분들에 결합되어 플라즈마 발생을 가능하게 할 수 있다. 유전체 절연체(152)가 리드(134)와 샤워 헤드 어셈블리(142) 사이에 배치되어 리드(134)로의 RF 전력의 전도를 방지한다. 페디스털 바디(114)의 주변부에 페디스털 바디(114)의 원하는 높이에서 기판과 맞물리는 섀도우 링(154)이 배치될 수 있다.
[0031] 선택적으로, 가스 분배 시스템(136)의 환형 베이스 플레이트(144)에 냉각 채널(156)이 형성되어, 작동 중에 환형 베이스 플레이트(144)를 냉각시킨다. 베이스 플레이트(144)가 미리 정해진 온도로 유지되도록 물, 에틸렌 글리콜, 가스 등과 같은 열 전달 유체가 냉각 채널(156)을 통해 순환될 수 있다.
[0032] 처리 영역(112B) 내에서 챔버 바디(104)의 측벽들(110, 106)에 매우 근접하게 챔버 라이너 어셈블리(158)가 배치되어, 측벽들(110, 106)이 처리 영역(112B) 내의 처리 환경에 노출되는 것을 방지한다. 라이너 어셈블리(158)는 처리 영역(112B)으로부터 가스들 및 부산물들을 배출하고 처리 영역(112B) 내의 압력을 제어하도록 구성된 펌핑 시스템(164)에 결합된 원주형 펌핑 공동(160)을 포함한다. 복수의 배출 포트들(166)이 챔버 라이너 어셈블리(158) 상에 형성될 수 있다. 배출 포트들(166)은 시스템(100) 내의 처리를 촉진하는 방식으로 처리 영역(112B)으로부터 원주형 펌핑 공동(160)으로의 가스의 흐름을 가능하게 하도록 구성된다.
[0033] 도 2a는 도 1의 플라즈마 시스템(100)에 이용될 수 있는 페디스털 어셈블리(102)의 일 구현의 등각 평면도이다. 페디스털 어셈블리(102)는 페디스털 바디(114), 샤프트(118), 및 원형 기판 지지부(205)에 대향하는 베이스 어셈블리(122)를 포함한다. 일 구현에서, 샤프트(118)는 관형 부재 또는 중공 샤프트로서 구성된다. 기판 지지부(205)는 실질적으로 평면인 기판 수용 표면 또는 지지 표면(210)을 포함한다. 지지 표면(210)은 200㎜ 기판, 300㎜ 기판 또는 450㎜ 기판을 지지하도록 적응될 수 있다. 일 구현에서, 지지 표면(210)은 지지 표면(210)의 평면 위로 연장하는 범프들 또는 돌출부들일 수 있는 복수의 구조물들(215)을 포함한다. 복수의 구조물들(215) 각각의 높이는 지지 표면(210)으로부터 약간 상승되거나 이격된, 실질적으로 평면인 기판 수용 평면 또는 표면을 제공하도록 실질적으로 동일할 수 있다. 기판 지지부(205)는 또한 이를 관통하여 형성되어, 리프트 핀(130)(도 1)을 수용하도록 적응된 복수의 개구들(220)을 포함한다.
[0034] 일 구현에서, 페디스털 바디(114)는 알루미늄 질화물과 같은 세라믹 재료로 만들어지며, 샤프트(118)는 알루미늄과 같은 열 전도성 금속성 재료로 만들어진다.
[0035] 일 구현에서, 페디스털 바디(114)의 지지 표면(210)은 텍스처링된다. 지지 표면(210)은 당해 기술분야에 공지된 기술들, 예를 들어 비드 블라스팅(bead blasting), 에치백(etch-back) 프로세스 또는 이들의 결합들을 사용하여 텍스처링될 수 있다. 일 구현에서, 페디스털 바디(114)의 텍스처링된 지지 표면(210)의 제곱 평균 제곱근("RMS(root mean square)") 거칠기는 약 0.75 미크론 내지 약 6 미크론, 예를 들어 약 1.5 미크론 내지 약 5 미크론, 예를 들어 약 2 미크론일 수 있다.
[0036] 도 2b는 도 2a의 페디스털 어셈블리(102)의 등각 저면도이다. 샤프트(118)는 페디스털 바디(114)의 지지 부재(230)에 결합되는 제1 단부(225)를 포함한다. 샤프트(118)는 또한 기판 지지부(205)에 대향하는 베이스 어셈블리(122)에 결합된 제2 단부(235)를 포함한다. 이 구현에서, 베이스 어셈블리(122)는 유전체 플러그(245)에 결합되는 그리고/또는 유전체 플러그(245)를 포함하는 슬롯형 전도성 부분(240)을 포함한다. 일 구현에서, 슬롯형 전도성 부분(240)은 전원 박스(120)(도 1)와 결합하도록 적응된 플러그 또는 수형(male) 인터페이스로서 구성될 수 있다. 도 2b에 도시된 구현에서, 전도성 부분(240)은 단면이 원형일 수 있고, 적어도 부분적으로는 외부 표면 또는 벽을 관통하여 형성된 슬롯들을 갖는다. 유전체 플러그(245)는 소켓 또는 암형 인터페이스로서 구성될 수 있거나, 또는 대안으로, 전원 박스(120) 내의 전기 접속부들을 수용하거나 이들과 결합하도록 적응된 소켓 또는 암형(female) 인터페이스로서 구성되는 부분 또는 부분들을 포함할 수 있다. 일 구현에서, 슬롯형 전도성 부분(240)은 샤프트(118)의 일체형 연장부일 수 있고 알루미늄 재료로 만들어질 수 있는 한편, 유전체 플러그(245)는 PEEK 수지로 만들어진다.
[0037] 베이스 어셈블리(122)는 또한, 도 1에 도시된 전원 박스(120)와 인터페이스하는 (도시되지 않은) O-링을 수용하도록 적응된 원주형 링(124)을 포함한다. 이 구현에서, 슬롯형 전도성 부분(240)은 유전체 플러그(245)를 수용하도록 적응된 개구를 포함하고, 유전체 플러그(245)는 슬롯형 전도성 부분(240)에 고정된다. 유전체 플러그(245)는 또한 (도 1에 도시된) 전원 박스(120)로부터의 전기 리드들을 수용하도록 그 내부에 형성된 개구들 또는 소켓들을 포함한다.
[0038] 지지 부재(230)는 열 계면(250)에서 샤프트(118)의 제1 단부(225)에 결합된다. 열 계면(250)은 샤프트(118)의 제1 단부(225)와 지지 부재(230)의 결합 표면들 사이에 배치된 열 전도성 재료(255)를 포함하는 접합부일 수 있다. 샤프트(118)의 제1 단부(225)와 지지 부재(230)는 하나 이상의 패스너 어셈블리들(260)에 의해 서로 분리 가능하게 결합될 수 있다.
[0039] 도 3a는 페디스털 어셈블리(300)의 일 구현의 측단면도이다. 페디스털 어셈블리(300)는 도 1 - 도 2b의 페디스털 어셈블리(102)로서 사용될 수 있다. 도 3b는 도 3a의 페디스털 어셈블리(300)의 확대 단면도이다. 일 구현에서, 페디스털 어셈블리(300) 상의 (도시되지 않은) 기판의 온도 제어는 저항성 히터(305), 냉각 채널 어셈블리(306)를 갖는 샤프트(118), 및 열 브레이크(308), 그리고 열 계면(250) 중 하나 이상에 의해 제공된다.
[0040] 저항성 히터(305)는 페디스털 바디(114) 내에 배치 또는 캡슐화된다. 열 브레이크(308)는 페디스털 바디(114)에서 페디스털 바디(114)와 지지 부재(230) 사이의 계면(310)에 또는 그에 인접하게 제공된다. 냉각 채널 어셈블리(306)는 냉각 채널(307), 냉각 채널 유입구(302) 및 냉각 채널 유출구(304)를 갖는다. 냉각 채널 유입구(302) 및 냉각 채널 유출구(304)는 열 전달 매체 또는 "냉각수"를 냉각 채널 어셈블리(306)에 공급하기 위한 유체 재순환기(309)에 결합될 수 있다. 일 구현에서, 냉각 채널(307)은 샤프트(118)의 바디에 포지셔닝된 링 형상의 채널이며, 샤프트(118)의 중공 부분(318)을 둘러싼다.
[0041] 일 구현에서, 열 브레이크(308)는 페디스털 바디(114)의 지지 표면(210)의 중심 주위의 열 저항을 증가시킴으로써 저온 확산기로서 작용한다. 이는 페디스털 바디(114)의 지지 표면(210)의 중심에 존재할 수 있는 냉각점을 감소시킬 수 있다. 도 3b를 참조하면, 열 브레이크(308)는 열 브레이크(308)를 둘러싸는 상부 벽(312), 대향하는 하부 벽(313) 및 주변 벽(314)에 의해 형성된다. 열 브레이크(308)는 상부 벽(312), 하부 벽(313) 및 주변 벽(314)에 의해 포함된 에어 갭 또는 틈을 포함할 수 있다.
[0042] 일 구현에서, 주변 벽(314)은 원형이어서, 열 브레이크(308)에 원 형상을 부여한다. 열 브레이크(308)는 또한 원하는 양의 열 제어를 제공하는 임의의 다른 형상들을 가질 수 있다. 예를 들어, 열 브레이크(308)는 타원들, 정사각형들, 직사각형들 및 불균일한 형상들과 같은 다른 형상들로부터 선택된 형상을 가질 수 있다. 일 구현에서, 열 브레이크(308)는 약 2 인치(5.1㎝) 내지 약 6 인치(15.2㎝)의 직경을 갖는다. 일 구현에서, 열 브레이크(308)는 약 3 인치(7.6㎝) 내지 약 4 인치(10.2㎝)의 직경을 갖는다. 열 브레이크(308)의 직경은 원하는 양의 열 제어를 제공하기 위해 변경될 수 있다. 열 브레이크(308)의 상부 벽과 하부 벽 사이의 거리(예컨대, 높이)는 원하는 양의 열 제어를 제공하기 위해 또한 변경될 수 있다. 일 구현에서, 열 브레이크(308)의 높이는 약 0.1 인치(0.3㎝) 내지 약 1 인치(2.5㎝)이다. 다른 구현에서, 열 브레이크(308)의 높이는 약 0.4 인치(1㎝) 내지 약 0.5 인치(1.3㎝)이다.
[0043] 페디스털 바디(114)와 지지 부재(230) 사이의 계면(310)은 접합부(320)를 포함한다. 접합부(320)는 약 1,200℃ 내지 약 1,500℃의 온도들에서의 직접 접합, 확산 접합, 공동 소결(co-sintering) 및/또는 혼소(co-firing)를 포함할 수 있다. 접합부(320)는 열 브레이크(308)의 주변 벽(314)으로 연장할 수 있고 그리고/또는 열 브레이크(308)의 주변 벽(314)을 형성할 수 있다.
[0044] 일 구현에서, 냉각 채널 유입구(302)는 페디스털 어셈블리(102)의 샤프트(118)를 관통하여 연장하는 종 방향 채널이다. 냉각 채널 유입구(302)의 제1 단부는 유체 재순환기(309)와 결합되고, 냉각 채널 유입구(302)의 제2 단부는 냉각 채널(307)과 유체 결합된다. 일 구현에서, 냉각 채널 유출구(304)는 페디스털 어셈블리(102)의 샤프트(118)를 관통하여 연장하는 종 방향 채널이다. 냉각 채널 유출구(304)의 제1 단부는 냉각 채널(307)과 결합되고, 냉각 채널 유출구의 제2 단부는 유체 재순환기(309)와 결합된다.
[0045] 도 3c는 부분의 확대 단면도이다. 열 계면(250)은 지지 부재(230)의 결합 표면(320A) 및 샤프트(118)의 결합 표면(320B)을 포함한다. 환형 O-링 홈(325)이 열 계면(250)에 포함될 수 있다. 열 전도성 재료(255)는 약 0.001 인치의 두께(330)로 결합 표면들(320A, 320B) 사이에 배치될 수 있다. 열 전도성 재료(255)는 약 4.0 미터 캘빈당 와트(W/m·K: watts per meter Kelvin)의 열 전도율을 가질 수 있다. 예들은 알루미늄, 은(Ag), 알루미늄 산화물, 알루미늄 질화물, 베릴륨 산화물, 실리콘 탄화물 또는 다이아몬드들과 같은 열 전도성 재료로 도핑된 실리콘 및/또는 아크릴 기반 열 그리스들 또는 접착제들을 포함한다. 열 전도성 재료(255)의 다른 예는 Bergquist Company로부터 입수할 수 있는 상품명 GAP FILLER 4000TM 하에 판매되는 갭 채움 재료를 포함한다.
[0046] 도 3a를 다시 참조하면, 열 계면(250)은 약 2 제곱인치의 표면적(335)을 포함할 수 있다. 표면적(335)은 주로 설계 인자들에 기초한다. 예를 들어, 지지 부재(230) 및 샤프트(118)의 중공 부분은 저항성 히터(305), 열전쌍 리드들 등에 대한 전기 접속부들을 수용하기에 충분히 커야 하며, 지지 부재(230) 및 샤프트(118)의 외측 치수는 기존의 챔버 바디들에 통합하기 위한 크기가 되어야 한다. 표면적(335)의 크기 및 열 전도성 재료(255)의 두께가 주어지면, 열 계면(250)의 열 유동은 약 1,500 와트 이상일 수 있고, 열 계면(250)에서의 온도 델타는 약 10℃일 수 있다.
[0047] 작동시에, 저항성 히터(305)에 의해 그리고/또는 플라즈마로부터 생성된 열은 (도시되지 않은) 기판을 가열하고, 임의의 과도한 열이 세라믹 페디스털 바디(114) 및 지지 부재(230)로부터 열 계면(250)을 통해 알루미늄 샤프트(118)로 흐른다. 열 전달 유체는 재사용될 수 있고, 유체 재순환기(309)에 의해 냉각 채널 어셈블리(306)를 통해 연속적으로 펌핑될 수 있다. 특정 구현들에서, 페디스털 바디(114)의 온도는 약 350℃일 수 있는 한편, 샤프트(118)의 온도는 작동시 약 100℃ 이하일 수 있다.
[0048] 도 4a 및 도 4b는 지지 부재(230)를 샤프트(118)에 결합하는 데 사용될 수 있는 서로 다른 타입들의 패스너 어셈블리들을 도시하는 페디스털 어셈블리(102)의 일부의 단면도들이다. 도 4a는 "클로(claw)" 타입 어셈블리인 패스너 어셈블리(400A)를 도시하고, 도 4b는 "분할 링" 타입 어셈블리인 패스너 어셈블리(400B)를 도시한다.
[0049] 패스너 어셈블리(400A)는 지지 부재(230)와 샤프트(118) 사이를 클램핑하는 하나 이상의 클로 클램프들(405)을 포함한다. 하나 이상의 클로 클램프들(405)은 열 계면(250)에서 지지 부재(230)의 둘레를 둘러싸도록 적응되는 2개 이상의 환형 세그먼트들을 포함할 수 있다. 하나 이상의 패스너들(410)이 지지 부재(230)를 샤프트(118)에 클램핑하는 데 이용될 수 있다. 패스너들(410) 및 클로 클램프들(405)은 지지 부재(230)에 형성된 리세스들(415) 내에 배치될 수 있다. 리세스들(415)은 지지 부재(230)의 둘레에 간헐적 간격들로 형성될 수 있다.
[0050] 패스너 어셈블리(400B)는 지지 부재(230)와 샤프트(118) 사이를 클램핑하는 하나 이상의 링들(420)을 포함한다. 하나 이상의 링들(420)은 열 계면(250)에서 지지 부재(230)의 둘레를 둘러싸도록 적응되는 2개 이상의 환형 세그먼트들을 포함할 수 있다. 하나 이상의 패스너들(410)이 지지 부재(230)를 샤프트(118)에 클램핑하는 데 이용될 수 있다. 링들(420)은 지지 부재(230)의 숄더(425)에 대해 조여질 수 있다. 하나 이상의 링들(420)과 클로 클램프들(405) 사이의 차이는, 링들(420)이 지지 부재(230)의 숄더(425)와 접촉하는 연속 내향 연장 부재를 포함하는 한편, 클로 클램프들(405)은 리세스들(415)과 부합하는 간헐적인 간격들로 지지 부재(230)의 숄더(425)와 접촉하는 내향 연장 부재를 갖는다는 점이다.
[0051] 전술한 내용은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 기본 범위를 벗어나지 않으면서 본 개시내용의 다른 구현들 및 추가 구현들이 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (14)

  1. 유전체 재료를 포함하며, 기판을 수용하기 위한 지지 표면을 갖는 기판 지지부;
    상기 기판 지지부 내에 캡슐화된 저항성 히터;
    중공 코어를 가지며 상기 중공 코어의 제1 단부에서 상기 기판 지지부의 지지 부재에 결합되는 샤프트; 및
    상기 지지 부재와 상기 중공 코어의 제1 단부 사이의 계면에 배치된 열 전도성 재료를 포함하며,
    상기 열 전도성 재료는 약 4.0 미터 캘빈당 와트(watts per meter Kelvin)의 열 전도율을 갖는,
    반도체 처리 챔버용 페디스털 어셈블리.
  2. 제1 항에 있어서,
    상기 샤프트는, 상기 중공 코어를 둘러싸며 상기 샤프트 내에 배치되어 내부 냉각 경로를 통해 상기 페디스털로부터 열을 제거하기 위한 냉각 채널 어셈블리를 포함하는,
    반도체 처리 챔버용 페디스털 어셈블리.
  3. 제2 항에 있어서,
    상기 기판 지지부는 가열 엘리먼트와 상기 냉각 채널 어셈블리 사이에 포지셔닝된 열 브레이크를 갖는,
    반도체 처리 챔버용 페디스털 어셈블리.
  4. 제3 항에 있어서,
    상기 열 브레이크는,
    상부 벽;
    대향하는 하부 벽; 및
    상기 열 브레이크를 둘러싸는 주변 벽을 포함하며,
    상기 주변 벽은 원형인,
    반도체 처리 챔버용 페디스털 어셈블리.
  5. 제4 항에 있어서,
    상기 열 브레이크는 약 7.6㎝ 내지 약 10.2㎝의 직경 및 약 1㎝ 내지 약 1.3㎝의 높이를 갖는,
    반도체 처리 챔버용 페디스털 어셈블리.
  6. 제1 항에 있어서,
    상기 지지 부재는 상기 계면에 인접하게 상기 지지 부재의 주변부에 형성된 복수의 리세스들을 포함하는,
    반도체 처리 챔버용 페디스털 어셈블리.
  7. 유전체 재료를 포함하며, 기판을 수용하기 위한 지지 표면을 갖는 기판 지지부;
    상기 기판 지지부 내에 캡슐화된 저항성 히터;
    중공 코어를 가지며 상기 중공 코어의 제1 단부에서 상기 기판 지지부의 지지 부재에 결합되는 샤프트; 및
    가열 엘리먼트와 냉각 채널 어셈블리 사이에 포지셔닝된 에어 갭을 포함하는 열 브레이크를 포함하는,
    반도체 처리 챔버용 페디스털 어셈블리.
  8. 제7 항에 있어서,
    상기 열 브레이크는,
    상부 벽;
    대향하는 하부 벽; 및
    상기 열 브레이크를 둘러싸는 주변 벽을 포함하는,
    반도체 처리 챔버용 페디스털 어셈블리.
  9. 제8 항에 있어서,
    상기 주변 벽은 원형인,
    반도체 처리 챔버용 페디스털 어셈블리.
  10. 제7 항에 있어서,
    상기 열 브레이크는 약 7.6㎝ 내지 약 10.2㎝의 직경 및 약 1㎝ 내지 약 1.3㎝의 높이를 갖는,
    반도체 처리 챔버용 페디스털 어셈블리.
  11. 제7 항에 있어서,
    상기 지지 부재는 계면에 인접하게 상기 지지 부재의 주변부에 형성된 복수의 리세스들을 포함하는,
    반도체 처리 챔버용 페디스털 어셈블리.
  12. 제7 항에 있어서,
    상기 지지 부재와 상기 중공 코어의 제1 단부 사이의 계면에 배치된 열 전도성 재료를 더 포함하는,
    반도체 처리 챔버용 페디스털 어셈블리.
  13. 제12 항에 있어서,
    상기 열 전도성 재료는 약 4.0 미터 캘빈당 와트의 열 전도율을 갖는,
    반도체 처리 챔버용 페디스털 어셈블리.
  14. 유전체 재료를 포함하며, 기판을 수용하기 위한 지지 표면을 갖는 기판 지지부;
    상기 기판 지지부 내에 캡슐화된 저항성 히터;
    중공 코어를 가지며 상기 중공 코어의 제1 단부에서 상기 기판 지지부의 지지 부재에 결합되는 샤프트;
    가열 엘리먼트와 냉각 채널 어셈블리 사이에 포지셔닝된 에어 갭을 포함하는 열 브레이크; 및
    상기 지지 부재와 상기 중공 코어의 제1 단부 사이의 계면에 배치된 열 전도성 재료를 포함하는,
    반도체 처리 챔버용 페디스털 어셈블리.
KR1020197011339A 2016-09-22 2017-09-13 넓은 범위의 온도 제어를 위한 히터 페디스털 어셈블리 KR102236934B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662398310P 2016-09-22 2016-09-22
US62/398,310 2016-09-22
PCT/US2017/051373 WO2018057369A1 (en) 2016-09-22 2017-09-13 Heater pedestal assembly for wide range temperature control

Publications (2)

Publication Number Publication Date
KR20190043645A true KR20190043645A (ko) 2019-04-26
KR102236934B1 KR102236934B1 (ko) 2021-04-05

Family

ID=61621254

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197011339A KR102236934B1 (ko) 2016-09-22 2017-09-13 넓은 범위의 온도 제어를 위한 히터 페디스털 어셈블리

Country Status (6)

Country Link
US (1) US10910238B2 (ko)
JP (1) JP7073349B2 (ko)
KR (1) KR102236934B1 (ko)
CN (1) CN109716497B (ko)
TW (2) TWI671851B (ko)
WO (1) WO2018057369A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200072686A (ko) * 2018-12-13 2020-06-23 주식회사 원익아이피에스 기판 처리 장치의 서셉터 및 기판 처리 장치

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106607320B (zh) * 2016-12-22 2019-10-01 武汉华星光电技术有限公司 适用于柔性基板的热真空干燥装置
TWI811307B (zh) * 2019-03-12 2023-08-11 鴻創應用科技有限公司 陶瓷電路複合結構及其製造方法
US11515176B2 (en) * 2020-04-14 2022-11-29 Applied Materials, Inc. Thermally controlled lid stack components
US20230069317A1 (en) * 2021-08-25 2023-03-02 Applied Materials, Inc. Thermal choke plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010080296A (ko) * 1998-10-29 2001-08-22 히가시 데쓰로 진공 처리 장치
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
JP2010245564A (ja) * 2002-01-10 2010-10-28 Tokyo Electron Ltd 処理装置
KR20120106977A (ko) * 2009-12-18 2012-09-27 어플라이드 머티어리얼스, 인코포레이티드 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4256503B2 (ja) * 1997-10-30 2009-04-22 東京エレクトロン株式会社 真空処理装置
JP2003060973A (ja) * 2001-08-21 2003-02-28 Mitsubishi Heavy Ind Ltd 監視カメラ誘導用送信器、監視カメラ、及び、監視システム
EP1612854A4 (en) * 2003-04-07 2007-10-17 Tokyo Electron Ltd LOADING TABLE AND HEAT TREATMENT DEVICE WITH LOADING TABLE
JP4761774B2 (ja) * 2005-01-12 2011-08-31 東京エレクトロン株式会社 温度/厚さ測定装置,温度/厚さ測定方法,温度/厚さ測定システム,制御システム,制御方法
CN100358098C (zh) 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺件处理装置
US7705238B2 (en) * 2006-05-22 2010-04-27 Andrew Llc Coaxial RF device thermally conductive polymer insulator and method of manufacture
US20090314208A1 (en) 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US8733280B2 (en) 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
JP6005946B2 (ja) * 2012-02-07 2016-10-12 株式会社Screenホールディングス 熱処理装置
US9089007B2 (en) 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
WO2014116392A1 (en) * 2013-01-25 2014-07-31 Applied Materials, Inc. Electrostatic chuck with concentric cooling base
US9698074B2 (en) 2013-09-16 2017-07-04 Applied Materials, Inc. Heated substrate support with temperature profile control
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
CN204793612U (zh) * 2015-04-17 2015-11-18 李后杰 用于激光二极管的封装结构
CN104990175A (zh) * 2015-07-28 2015-10-21 珠海格力电器股份有限公司 一种辐射换热板组件

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010080296A (ko) * 1998-10-29 2001-08-22 히가시 데쓰로 진공 처리 장치
JP2010245564A (ja) * 2002-01-10 2010-10-28 Tokyo Electron Ltd 処理装置
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
KR20120106977A (ko) * 2009-12-18 2012-09-27 어플라이드 머티어리얼스, 인코포레이티드 광범위 웨이퍼 온도 제어를 위한 다기능 히터/냉각기 받침대

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200072686A (ko) * 2018-12-13 2020-06-23 주식회사 원익아이피에스 기판 처리 장치의 서셉터 및 기판 처리 장치

Also Published As

Publication number Publication date
US10910238B2 (en) 2021-02-02
US20180082866A1 (en) 2018-03-22
TWI729447B (zh) 2021-06-01
TWI671851B (zh) 2019-09-11
CN109716497A (zh) 2019-05-03
TW202015169A (zh) 2020-04-16
TW201814823A (zh) 2018-04-16
WO2018057369A1 (en) 2018-03-29
CN109716497B (zh) 2023-09-26
JP2019533306A (ja) 2019-11-14
JP7073349B2 (ja) 2022-05-23
KR102236934B1 (ko) 2021-04-05

Similar Documents

Publication Publication Date Title
KR102236934B1 (ko) 넓은 범위의 온도 제어를 위한 히터 페디스털 어셈블리
US10971389B2 (en) Multi-zone pedestal for plasma processing
US8274017B2 (en) Multifunctional heater/chiller pedestal for wide range wafer temperature control
CN106463363B (zh) 底座基于流体的热控制
US10811301B2 (en) Dual-zone heater for plasma processing
US9947559B2 (en) Thermal management of edge ring in semiconductor processing
KR20070061884A (ko) 개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템
CN107460451B (zh) 自居中底座加热器
KR20190005257A (ko) 에지 밀봉이 강화된 고 전력을 위한 워크피스 캐리어
WO2020112764A1 (en) Pedestal including vapor chamber for substrate processing systems

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant