KR102662453B1 - 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드 - Google Patents

용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드 Download PDF

Info

Publication number
KR102662453B1
KR102662453B1 KR1020230067152A KR20230067152A KR102662453B1 KR 102662453 B1 KR102662453 B1 KR 102662453B1 KR 1020230067152 A KR1020230067152 A KR 1020230067152A KR 20230067152 A KR20230067152 A KR 20230067152A KR 102662453 B1 KR102662453 B1 KR 102662453B1
Authority
KR
South Korea
Prior art keywords
showerhead
stem
back plate
face plate
plate
Prior art date
Application number
KR1020230067152A
Other languages
English (en)
Other versions
KR20230079333A (ko
Inventor
사브리 모하메드
에드워드 오거스티니아크
더글라스 엘. 케일
람키샨 라오 링엄팰리
칼 리저
코디 바네트
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20230079333A publication Critical patent/KR20230079333A/ko
Application granted granted Critical
Publication of KR102662453B1 publication Critical patent/KR102662453B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

처리 챔버를 위한 샤워헤드는 스템; 스템에 커플링된 제1 플레이트; 제1 플레이트에 인접하게 배치된 제2 플레이트; 제1 플레이트와 제2 플레이트 사이에 규정된 가스 플레넘으로서, 가스 플레넘으로부터 처리 챔버의 반응 볼륨으로 가스들을 분배하기 위해 가스 플레넘과 유체 연통하는 가스 확산 표면을 포함하는, 가스 플레넘; 스템을 통과하는 전도성 로드; 및 RF (radio frequency) 전극이 제1 플레이트와 제2 플레이트 사이에 규정된 가스 플레넘 위 및 아래 중 하나에 배치되도록 제1 플레이트 및 제2 플레이트 중 하나 내에 임베디드된 RF 전극으로서, RF 전극은 스템을 통과하는 전도성 로드와 전기적으로 접촉하는, RF 전극을 포함한다.

Description

용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드{CERAMIC SHOWERHEAD WITH EMBEDDED RF ELECTRODE FOR CAPACITIVELY COUPLED PLASMA REACTOR}
본 명세서는 기판 처리 시스템과 관련하고, 특히 기판 처리 시스템을 위한 샤워헤드 (showerhead) 와 관련한다.
본 명세서에 제공되는 배경기술 설명은 본 명세서의 내용을 전반적으로 나타내는 목적을 위해서이다. 본 배경기술과 출원시 선행 기술로서 자격을 부여할 수 없는 명세서의 양상에서 설명된, 현재 지명된 발명자의 작업은 본 명세서에 대항하여 선행 기술로서 명시적 또는 묵시적으로 인정되지 않는다.
기판 처리 시스템은 통상적으로 일 이상의 반응 부피를 가진 처리 챔버를 포함한다. 페데스탈 (pedestal) 은 통상적으로 반응 부피 내에 위치된다. 반도체 웨이퍼와 같은 기판은 페데스탈 상에 배치된다. 일 이상의 처리 가스는 샤워헤드를 이용하여 반응 부피로 전달되고 플라즈마는 반응 부피 내에 충돌될 수도 있다. 유전체 층과 같은 막은 기판 상에 형성된다.
샤워헤드는 용량성 커플링된 플라즈마 (CCP; capacitively coupled plasma) 반응기 (reactor) 내에 이용될 수도 있다. 샤워헤드는 기판 위에 처리 가스를 분배하고 플라즈마를 구동하도록 무선주파수 (RF) 전극으로서의 역할을 한다. 샤워헤드는 통상적으로 금속성 재료로 이뤄진다. 금속 전극은 샤워헤드 내부의 플라즈마 형성 및 가스의 조기 활성화를 방지하도록 샤워헤드의 가스 플레넘 (plenum) 내부의 전기장을 실질적으로 감소시키기거나 제거한다.
CCP 반응기를 위한 샤워헤드는 통상적으로 알루미늄으로 이뤄지고 바디 (body) 로 용접되는 페이스 플레이트 (face plate) 를 포함한다. 샤워헤드의 페이스 플레이트는 통상적으로 기판의 노출된 표면 위에 균일한 가스 분배를 제공하도록 다수의 이격된 가스 홀 (hole) 들을 가진다. RF 전압은 샤워헤드, (페데스탈과 같은) 다른 전극 또는 샤워헤드 및 다른 전극으로 인가될 수도 있다.
알루미늄 샤워헤드는 다수의 처리 화학물질 (또는 가스 조성물) 과 우수하게 작동한다. 그러나, 알루미늄은 금속성 표면으로부터 엘리먼트 (element) 를 침출하는 처리 가스와 호환 가능하지 않다. 특히, 염소-기반 화학물질은 300℃를 초과하는 동작 온도 (operating temperature) 에서 알루미늄을 침출하는 성향이 있다. 샤워헤드의 금속 표면 상에 함염소 가스의 케미컬 어택 (chemical attack)의 결과로서, 금속성 재료는 기판 상에 증착된 막 내에 이를 수도 있다. 이는 종종 기판 상의 디바이스 제조에 유해하다. 예를 들어, 몇몇의 경우 금속성 재료는 집적 디바이스 동작을 해할 수 있는 도펀트 (dopant) 이다.
또한, 원치 않는 금속 오염은 처리 챔버의 세정 동안 발생할 수 있다. 플루오르 원자 (atomic fluorine) 는 세정을 위해 흔히 이용되므로, 페이스 플레이트 (샤워헤드의 최고온 영역) 는 플루오르 (fluorine) 와 반응하고 알루미늄 플로라이드 (aluminum fluoride) 를 형성한다. 알루미늄 플로라이드의 두께가 시간에 따라 증가하는 경우, 샤워헤드 표면 특성 (예컨대, 조도 (roughness), 전도도 (conductivity) 및 복사율 (emissivity)) 은 변화한다. 결과적으로, 증착 처리는 드리프팅 (drifting) 할 수 있고, 미립자 오염에 대해 높은 위험에 있게 된다.
또한, 샤워헤드의 설계 (design) 는 샤워헤드가 처리 챔버 내에 설치된 경우 (그것은 용접되므로) 샤워헤드의 내부를 세정하는 것을 허가하지 않는다. 극단적인 경우에, 페이스 플레이트 내에 가스 홀 내부에 알루미늄 표면의 플루오르화 (fluorination) 는 홀의 직경을 변화시킬 수도 있고 가스 흐름의 균일도를 변경시킬 수도 있다.
알루미늄과 같은 몇몇의 금속성 재료는 더 높은 온도 (400℃ 이상) 에서 더 유연하게 되고 샤워헤드의 페이스 플레이트는 늘어지는 것 (droop) 을 시작할 수도 있다. 이는 가스 흐름 및 플라즈마 밀도 분포에 변화를 야기할 수 있다.
기판 처리 시스템을 위한 샤워헤드 어셈블리는 가스 채널과 연결된 백 플레이트를 포함한다. 페이스 플레이트는 백 플레이트 (back plate) 의 제1 표면에 인접하여 연결되고 가스 확산 표면을 포함한다. 전극은 백 플레이트 및 페이스 플레이트 중 하나 내에 배열되고 일 이상의 전도체와 연결된다. 가스 플레넘은 백 플레이트 및 페이스 플레이트 사이에서 정의되고 가스 채널과 유체 연통한다. 백 플레이트와 페이스 플레이트는 비금속성 재료로 이뤄진다.
다른 특징에서, 스템 (stem) 은 백 플레이트와 연결되고 가스 채널을 정의한다. 일 이상의 전도체는 스템을 통과한다.
다른 특징에서, 페이스 플레이트의 가스 확산 표면은 이격된 홀들을 포함하거나, 페이스 플레이트의 가스 확산 표면은 천공들을 포함하거나, 페이스 플레이트의 가스 확산 표면은 다공성이다.
다른 특징에서 플라즈마-억제 구조체 (plasma-suppressing structure) 는 백 플레이트의 제2 표면에 인접하여 배열된다. 백 플레이트의 제1 표면은 백 플레이트의 제2 표면과 반대편에 있다.
다른 특징에서, 플라즈마-억제 구조체는 이격된 관계로 서로 평행하게 위치된 N개의 플레이트를 포함한다. N은 1 보다 큰 정수이고, N개의 플레이트는 유전체 재료로 이뤄진다.
다른 특징에서, 플라즈마-억제 구조체는 N개의 플레이트 중 하나로부터 기판 처리 시스템의 처리 챔버의 상면을 향해 확장되는 칼라 (collar) 를 포함한다. 칼라는 유전체 재료로 이뤄진다.
다른 특징에서, N은 2보다 크고 N개의 플레이트는 균일한 방식으로 이격된다. N은 2보다 크고 N개의 플레이트 중 적어도 일부는 불균일한 방식으로 이격된다. N개의 플레이트 중 적어도 하나는 복수의 천공을 포함한다. N개의 플레이트 중 적어도 하나는 톱니 표면 (saw tooth surface) 을 포함한다. 스템, 백 플레이트 및 페이스 플레이트는 세라믹 재료로 이뤄진다. 스템, 백 플레이트 및 페이스 플레이트는 알루미늄 나이트라이드 (aluminum nitride) 및 알루미늄 옥사이드 (aluminum oxide) 중 적어도 하나로 이뤄진다.
다른 특징에서, 페이스 플레이트는 백 플레이트 및 페이스 플레이트 사이의 씰 (seal) 을 유지하는 동안 백 플레이트에 대하여 페이스 플레이트의 측방향 이동을 허용하도록 백 플레이트와 연결된다.
다른 특징에서, 배플 (baffle) 은 가스 채널 및 가스 플레넘 사이에 배치된다. 칼라는 기판 처리 챔버의 상부면과 스템을 연결한다. 백 플레이트는 패스너 (fastener) 를 이용하여 페이스 플레이트와 연결된다. 가스 플레넘의 높이 치수 (dimension) 는 예상되는 플라즈마 시스 (sheath) 두께의 두배 미만이다. 전극 및 백 플레이트의 제1 표면 사이의 제1 치수는 전극 및 백 플레이트의 제2 표면 사이의 제2 치수보다 작다. 백 플레이트의 제1 표면은 백 플레이트의 제2 표면과 반대편에 있다. 전극은 디스크 형상이다.
다른 특징에서, 기판 처리 시스템은 반응 부피를 포함하는 처리 챔버를 포함한다. 샤워헤드 어셈블리는 반응 부피 내에 배치된다. 페데스탈은 페이스 플레이트에 인접하여 반응 부피 내에 배치된다.
다른 특징에서, 무선 주파수 (RF) 회로는 일 이상의 전도체로 1 ㎒보다 더 큰 주파수를 가지는 RF 신호를 공급하도록 구성된다. 제어기는 RF 회로의 동작을 제어하고 가스 채널으로 흐르는 처리 가스를 제어하도록 구성된다.
다른 특징에서, 일 이상의 전도체는 가스 채널을 둘러싸는 원통형 전도체를 포함한다.
본 명세서의 이용 가능성의 추가의 영역은 상세한 설명, 청구항 및 도면으로부터 분명히 될 것이다. 상세한 설명 및 특정한 실시예는 설명의 목적을 위해서만 의도되고 본 명세서의 범위를 제한하도록 의도되지 않는다.
본 명세서는 상세한 설명 및 첨부한 도면으로부터 더 완전히 이해될 것이고, 여기서:
도 1a 및 도 1b 는 기능상의 블록도 및 본 명세서에 따르는 기판 처리 시스템의 실시예의 단순화된 단면도이다.
도 2a 및 도 2b는 본 명세서에 따르는 샤워헤드 실시예의 부분의 부분적인 단면도이다.
도 3은 플라즈마-억제 구조체의 플레이트 사이에 불균일한 간격이 두어진 실시예를 도시한다.
도 4는 플라즈마-억제 구조체의 플레이트 상에 스캘럽 (scalloped) 표면의 실시예를 도시한다.
도 5는 플라즈마-억제 구조체의 천공 (perforated) 플레이트의 실시예를 도시한다.
도면에서, 도면 부호는 비슷한 및/또는 동일한 엘리먼트 (element) 를 식별하도록 재사용될 수도 있다.
본 출원은 2013년 2월 28일에 출원된 미국 가출원 번호 41/770,894 의 이익을 주장한다. 위에 언급된 출원의 전체 명세서는 참조로써 본 명세서에서 통합된다.
도 1a, 1b, 2a 및 2b는 본 명세서에 따른 샤워헤드 (10) 의 실시예를 도시한다. 도 1a에서, 샤워헤드 (10) 는 백 플레이트 (20), 스템 (24) 및 페이스 플레이트 (30) 를 포함한다. 몇몇의 실시예에서, 샤워헤드 (10) 의 백 플레이트 (20), 스템 (24) 및 페이스 플레이트 (30) 는 세라믹 재료와 같은 비금속성 재료로 이뤄진다. 스템 (24) 이 도시되나, 스템 (24) 은 생략될 수 있고 백 플레이트는 처리 챔버의 표면 상에 배열되거나, 인접하거나 그리고/또는 처리 챔버의 표면으로 리세스될 (recessed) 수도 있다 (도 1b). 몇몇의 실시예에서, 세라믹 재료는 알루미늄 나이트라이드 (AlN), 알루미늄 옥사이드 (Al2O3) 또는 다른 적합한 세라믹 물질을 포함한다.
몇몇의 실시예에서, 백 플레이트 (20) 는 통상적으로 평탄한 디스크를 포함한다. 스템 (24) 은 백 플레이트 (20) 와 연결된다. 몇몇의 실시예에서, 스템 (24) 은 원통형이고 스템 (24) 의 축은 백 플레이트 (20) 를 포함하는 평면에 수직으로 연결된다. 오직 예시로서, 스템 (24) 및 백 플레이트 (20) 는 확산 본딩 (bonding) 또는 브레이징 (brazing) 을 이용하여 함께 고정되도록 연결될 수도 있다. 그렇지 않으면, 스템 (24) 및 백 플레이트 (20) 는 패스너, 암수 커넥터 (male and female connectors) 또는 다른 방법을 이용하여 제거 가능하게 연결될 수도 있다.
스템 (24) 은 스템 (24) 을 통해 축방향으로 확장되는 가스 채널 (34) 을 정의한다. 가스는 가스 채널 (34) 을 통해 선택적인 배플 (38) 로 그리고 가스 플레넘 (32) 으로 흐르고, 이는 백 플레이트 (20) 및 페이스 플레이트 (30) 사이에 정의된다. 백 플레이트 (20) 및 페이스 플레이트 (30) 중 하나 또는 양자는 가스 플레넘 (32) 의 높이를 정의하도록 리세스 (recess) (36) 를 포함할 수도 있다.
페이스 플레이트 (30) 는 가스 플레넘 (32) 및 반응 부피 (44) 사이에서 가스 확산기로서 기능하는 가스 확산 표면 (41) 을 정의한다. 가스 확산 표면 (41) 은 천공될 수도 있고, 홀들을 가질 수도 있고, 다공성일 수도 있다. 도 1에서 오직 예시로서, 가스 플레넘 (32) 내의 처리 가스는 페이스 플레이트 (30) 의 이격된 홀 (42) 들을 통해 반응 부피 (44) 로 흐를 수도 있다. 이격된 홀 (42) 들은 페데스탈 (48) 상에 배열된 기판 (46) 의 노출된 표면을 가로질러 상대적으로 균일한 방식으로 처리 가스를 분배한다.
무선 주파수 (RF) 전극 (50) 은 백 플레이트 (20) (도 1 및 2a) 또는 페이스 플레이트 (30) (도 2b) 중 하나 내부에 임베디드 (embedded) 된다. 일 이상의 전도체 또는 로드 (rod) (54) 는 스템 (24) 및 백 플레이트 (20) 의 일부를 통과한다. 전도체 (54) 는 RF 전극 (50) 과 전기적으로 접촉한다. 몇몇의 실시예에서, 추가적인 또는 더 적은 전도체 (54) 가 이용될 수도 있으나, 4개의 전도체 (54) 가 이용된다. 가스 채널 (34) 을 따르는 전기장은 로드의 수가 증가할수록 감소한다. 몇몇의 실시예에서, 전도체 (54) 는 원통형이고 가스 채널 (34) 을 둘러싼다. 일 이상의 전도체 (54) 는 샤워헤드 (10) 가 접지된 전극으로 구성된다면 접지와 같은 기준 전위 또는 RF 전압으로 연결될 수도 있다.
샤워헤드 (10) 는 백 플레이트 (20) 를 통해 흘려지는 기생 방전 (parasitic discharge) 을 감소시키거나 제거하도록 백 플레이트 (20) 의 상면 및 처리 챔버의 상면 사이에 배열된 플라즈마-억제 구조체 (60) 를 포함한다. 몇몇의 실시예에서, 플라즈마-억제 구조체 (60) 는 공통 양도된 2011년 11월 23일에 출원된 미국 특허 출원 일련 번호 13/303,386 "기판 처리 챔버 내에 기생 플라즈마의 기계적 억제" 에 따라 만들어질 수도 있고, 이 특허 출원은 이에 의하여 특허 출원의 전문으로 참조로서 통합된다.
스템 (24) 은 칼라 (80) 에 부착될 수도 있다. 결국, 칼라 (80) 는 처리 챔버의 상단면에 부착될 수도 있다. 칼라 (80) 는 칼라 (80) 의 상단부에 인접하여 스템 (85) 으로부터 외부로 방사상으로 확장하는 플랜지 (flange) (86) 및 스템 (85) 을 포함할 수도 있다. 칼라 (80) 는 유전체 (절연) 재료로 이뤄질 수도 있고 접지로 용량성 커플링을 최소화하는 치수를 가질 수도 있다 (즉, 두께 또는 높이를 가질 수도 있다). 밸브 (90) 및 펌프 (92) 는 반응 부피 (44) 내에 진공을 생성하도록 이용될 수도 있다.
페이스 플레이트 (30) 는 어떤 의미로는 열팽창의 차이로 인해 페이스 플레이트 (30) 와 백 플레이트 (20) 사이의 몇몇의 상대적인 측방향의 이동을 허용하도록 그리고 가스 씰을 제공하도록 백 플레이트 (20) 에 부착된다. 본 명세서에 이용된 것과 같이, 측방향의 이동은 페이스 플레이트를 포함하는 평면에 평행한 이동을 참조한다. 다시 말해서, 페이스 플레이트 (30) 는 가스 플레넘 (32) 내부의 가스/증기를 동시에 씰링 (sealing) 하는 동안 페이스 플레이트 (30) 가 백 플레이트 (20) 로 스트레스 (stress) 를 전송하는 것 없이 열팽창 할 수 있도록 백 플레이트 (20) 로 마운트 (mount) 된다.
도 2a에서 최적으로 보여질 수 있는 것과 같이, 몇몇의 실시예에서, 페이스 플레이트 (30) 및 백 플레이트 (20) 의 에지는 패스너 (64) 를 이용하여 연결된다. 몇몇의 실시예에서, 패스너 (64) 는 와셔 (washer) (67) 와 프리로드된 (preloaded) 스크류 (screw) (66) 를 포함한다. 스크류 (66) 는 미리 결정된 (predetermined) 토크 (torque) 가 페이스 플레이트 (30) 및 백 플레이트 (20) 사이에 접촉을 유지하도록 인가될 때까지 회전될 수도 있다. 몇몇의 실시예에서, 패스너 (64) 는 숄더 스크류 (shoulder screw) 일 수도 있고 와셔 (67) 는 스프링 와셔 (spring washer) 일 수도 있다. 숄더 스크류는 미리 정의된 (predefined) 하중을 생성하도록 스프링 와셔로 미리 결정된 압축을 가한다. 몇몇의 실시예에서, 샤워헤드 (10) 의 페이스 플레이트 (30) 는 패스너 (64) 를 제거하고, 새로운 페이스 플레이트를 설치하고, 패스너 (64) 를 재설치함으로써 교체될 수 있다.
몇몇의 실시예에서, 페이스 플레이트 (30) 내의 이격된 홀 (42) 은 이격된 홀 (42) 의 내부에 플라즈마 점화를 방지하도록 0.02”에서 0.06” 범위 내에 직경을 가진다. 페이스 플레이트 (30) 의 이격된 홀 (42) 은 웨이퍼 상의 막 특성을 최적화하도록 다양한 패턴으로 배열된다. 추가의 또는 더 적은 홀이 이용될 수도 있으나, 이격된 홀 (42) 의 수는 50 에서 6000 범위 내에 있다. 이격된 홀 (42) 의 직경은 주어진 페이스 플레이트에 대해 같을 수 있고 또는 2 이상의 다양한 크기가 주어진 페이스 플레이트에 대해 이용될 수 있다.
몇몇의 실시예에서, 백 플레이트 (20) 내에 임베디드된 RF 전극 (50) 은 디스크 형상을 갖고 샤워헤드 (10) 에 대해 이용되는 재료의 제2 CTE와 비교 가능한 제1 열팽창 계수 (CTE) 를 갖는 금속으로 이뤄진다. 도 2b에서 보여질 수 있는 것과 같이, 전극 (50) 은 페이스 플레이트 (30) 내에 배열될 수 있다. 인식될 수 있는 것과 같이, 전극 (50) 은 어떤 의미로는 이격된 홀 (42) 의 패턴을 수용하는 방식으로 패터닝 (patterning) 될 것이다. 페이스 플레이트 (30) 또는 백 플레이트 (20) 내의 RF 전극 (50) 은 고주파 RF가 라이트업 (lightup) 없이 샤워헤드 (10) 의 가스 플레넘 (32) 을 통과하는 것을 가능하게 한다.
도 2a에서 최적으로 보여질 수 있는 것과 같이, 몇몇의 실시예에서, RF 전극 (50) 은 전력 커플링 (도 2a에서 치수 d2) 을 향상시키도록 기판에 대향하는 백 플레이트 (20) 의 하부면으로 가능한 한 가깝게 위치된다. 몇몇의 실시예에서, 치수 d1은 치수 d2 보다 더 크다. 전도체 (54) 는 RF 회로 (70) 로 RF 전극 (50) 을 연결하도록 스템 (24) 의 벽 내에 임베디드된다. 몇몇의 실시예에서, 전도체 (54) 는 가스가 도입되는 것을 통해 채널을 따라 전기장을 최소화하도록 배열된다. 페이스 플레이트 (30) 는 임의의 적합한 두께 (치수 d4) 를 가질 수도 있다.
RF 전극 (50) 이 백 플레이트 (20) 내에 임베디드되는 경우, 방전을 구동하는 전기장은 가스 플레넘 (32) 및 페이스 플레이트 (30) 를 통과해야 한다. 몇몇의 실시예에서, 가스 플레넘의 높이 치수 (도 2a에서 치수 d3) 는 예상되는 플라즈마 시스 두께의 2배 보다 작다. 이 접근을 이용하는 것은 기생 플라즈마 방전이 유지될 수 없는 것을 보장한다. 몇몇의 실시예에서, 치수 d3는 가스 플레넘 (32) 내부의 기생 플라즈마를 유지할 상태를 방지하고 가스 플레넘 (32) 을 가로지르는 전압 강하를 최소화 하도록 1/8” 또는 그 이하이다.
도 1a에서 최적으로 보여질 수 있는 것과 같이, RF 전극 (50) 이 백 플레이트 (20) 내부에 임베디드되는 경우, 샤워헤드 (10) 의 배면 또는 상단면 상의 기생 방전의 억제 플라즈마-억제 구조체 (60) 를 이용하여 수행될 수도 있다. 몇몇의 실시예에서, 플라즈마-억제 구조체 (60) 는 2 이상의 이격된 플레이트 (100-1, 100-2, ..., 및 100-N) (일괄하여 플레이트 100) 를 포함하고, 여기서 N은 1 보다 큰 정수이다. 몇몇의 실시예에서, 추가적인 또는 더 적은 플레이트 (100) 가 이용될 수도 있으나, N은 5이다. 몇몇의 실시예에서, 플레이트 (100) 는 세라믹 재료 또는 임의의 다른 적합한 유전체 재료로 이뤄진다. 플레이트 (100) 사이에 이격시킨 것은 플레이트 (100) 사이에 자체-유지되는 방전을 방지하도록 그리고 플라즈마-유지 구조체 (60) 내의 유효 전압 (significant voltage) 을 강하시키도록 최적화되어, 기생 방전이 플라즈마-억제 구조체 (60) 뒤에 유지될 수 없다. 1 이상의 스페이서 (spacer) (102) 는 플라즈마-억제 구조체 (60) 의 플레이트 (100) 사이에 일정한 공간을 정의하도록 제공될 수도 있다. 칼라 (110) 는 또한 플레이트 (100) 중 상단의 하나 (도 1a에서 100-5) 위에 그리고 칼라 (80) 의 스템 (85) 주위에 제공될 수도 있다. 칼라 (110) 는 유전체 재료로 이뤄질 수도 있다.
제어기 (120) 는 일 이상의 센서 (124) 와 연결될 수도 있고, 센서는 처리 챔버의 내부 및 외부에 배열될 수도 있다. 센서 (124) 는 시스템 동작 상태를 감지하고 압력 센서, 온도 센서 및/또는 다른 센서를 포함할 수도 있다. 컨트롤러 (120) 는 일 이상의 질량 유량 (mass flow) 제어기 (MFC) (128) 및 밸브 (130) 를 이용하여 가스 소스 (126) 로부터 가스 체널 (34) 로 처리 가스를 선택적으로 공급한다.
몇몇의 실시예에서, RF 전극 (50) 은 RF 회로 (70) 와 연결되고, RF 회로는 고주파 여기 (excitation) 를 제공한다. 몇몇의 실시예에서, 고주파 여기는 1㎒ 이상이다. 가스 플레넘 (32) 및 세라믹 재료의 적층된 층은 방전 임피던스 (impedance) 를 추가하는 용량성 구조체를 생성한다. 여기 주파수가 증가할수록, 임피던스는 감소한다. 샤워헤드 (10) 의 효율적인 동작을 공급하기 위해서, 대부분의 전달된 전력은 기판 위에 방전을 소멸시켜야 한다. 샤워헤드 (10) 뒤의 플라즈마는 기생하는 것으로 생각된다. 기판 위에 전력 소멸을 극대화하기 위하여, 페이스 플레이트 (30) 를 통과하는 임피던스는 백 플레이트 (20) 를 통과하는 임피던스 보다 더 작다 (그렇지 않으면 배면 플라즈마는 전달된 전력의 유효 분율 (significant fraction) 을 소비할 수도 있다). 샤워헤드 (10) 가 백 플레이트 (20) 내에 임베디드된 RF 전극 (50) 을 포함하는 경우, 더 많은 전력이 백 플레이트 (20) 를 통해 커플링하는 성향이 있다. 본 현상은 플라즈마-억제 구조체 (60) 를 이용하여 감소될 수도 있다.
전력 커플링은 임피던스 모델에 관하여 분석될 수 있다. 페이스 플레이트의 앞쪽에 (웨이퍼 위에) 발생하는 플라즈마 방전을 위해, 임피던스 Zface 는 다음과 같이 계산된다:
여기서 Ci는 층 i 의 커패시턴스, A는 면적, f는 주파수, di는 층 i 의 두께, ε0는 유전율, ε2 = ε4 = 9 는 AlN 또는 Al2O3의 비유전율, ε3 = 1 은 진공의 비유전율이다.
AlN 및 Al2O3의 유전율이 약 9이므로, 플레이트는 비슷한 두께의 진공 갭 (gap) 보다 임피던스로 더욱 적게 기여한다. 샤워헤드의 배면 위에 발생하는 플라즈마 방전 (기생 플라즈마) 에 대하여, 플라즈마-억제 구조체 (60) 가 없는 임피던스는 와 같이 계산된다. 플라즈마-억제 구조체 (60) 가 없는 샤워헤드에 대하여, 가스 플레넘의 높은 임피던스 (ε =1) 로 인해 Zface > Zback 이다. 대응하기 위하여, 큰 임피던스 Zsupp 를 갖는 플라즈마-억제 구조체 (60) 는 Zface << Zback + Zsupp 를 보장하도록 백 플레이트 (20) 상에 설치된다.
플라즈마-억제 구조체 (60) 의 임피던스는 플레이트 (100) 사이의 진공 갭에 주로 의존한다. 그러나, 갭이 너무 넓다면, 용량성 기생 방전이 플레이트 (100) 사이에 발생할 수도 있다.
위에 구성된 임피던스 모델은 평행한 플레이트 커패시터 및 균일한 전기장을 가정한다. 실제로는, 위 결과를 변경할 프린지 필드 (fringe field) 가 발생할 것이지만 이 효과는 작을 것으로 예상된다. 프린지 필드는 RF 전극 (50) 의 바로 부근 내에서 가장 높다. 결과적으로, (백 플레이트 (20) 및 플라즈마-억제 구조체 (60) 의 제1 플레이트 (100-1) 사이의) 제1 갭은 기생 방전을 점화하기에 가장 용이하다.
몇몇의 실시예에서, 플레이트 사이 갭은 균일하다. 다른 실시예에서, 플레이트 사이 갭은 균일하지 않다. 도 3에서 오직 예시로서, 플레이트 사이의 갭 (200-1, 200-2, 200-3, 200-4 및 200-5) (일괄하여 플레이트 사이의 갭 (200)) 의 일부 또는 전부는 백 플레이트 (20) 로부터 거리에 따라 증가할 수도 있다. 오직 예시로서, 다른 값이 이용될 수도 있으나, 플레이트 사이의 갭 (200) 은 40-60-100-150-200 (mil 단위로) 을 따라 증가할 수도 있다. 이해될 수 있는 바와 같이, 플레이트 (100) 의 말단은 예를 들어 일 이상의 (도시되지 않은) 플러그 (plug) 를 이용하여 인클로즈 (enclose) 될 수도 있다.
플라즈마-억제 구조체 (60) 의 플레이트 (100) 사이의 플레이트 사이 기생 방전을 방지하는 것은 갭 폭 및 플라즈마 시스 두께의 관계에 의존한다. 도 4에서 예를 들어, 원치 않는 점화에 대항하여 향상된 보호는 일 이상의 톱니 또는 스캘럽 표면 (230) 을 포함하는 플레이트 (220-1, 220-2, 220-3 및 220-4) (일괄하여 플레이트 (220)) 에 의해 성취된다. 하나의 스캘럽 표면 (230) 만이 각각의 플레이트 (220) 에 대하여 도시된 반면에, 각각의 플레이트 (220) 의 양쪽 표면이 스캘럽될 수도 있다. 높은 표면 영역은 전자 및 이온의 손실률을 증가시키고 자체-유지되는 기생 방전을 아마도 더 적게 만드는 전자 및 이온에 대해 더 많은 재결합 위치를 제공한다. 이해될 수 있는 바와 같이, 플레이트 (220) 의 말단은 예를 들어 일 이상의 (도시되지 않은) 플러그를 이용하여 인클로즈될 수도 있다.
도 5에서 예를 들어, 원치 않는 점화에 대항하여 향상된 보호는 일 이상의 천공 (260) 을 각각 포함하는 플레이트 250-1, 250-2 및 250-3 (일괄하여 플레이트 (250)) 에 의하여 성취될 수도 있다. 높은 표면 영역은 전자 및 이온의 손실률을 증가시키고 자체-유지되는 기생 방전을 아마도 더 적게 만드는 전자 및 이온에 대해 더 많은 재결합 위치를 제공한다. 이해될 수 있는 바와 같이, 플레이트 (250) 의 말든은 예를 들어 일 이상의 (도시되지 않은) 플러그를 이용하여 인클로즈될 수도 있다.
이해될 수 있는 바와 같이, 주어진 기판 처리 챔버를 위한 플라즈마-억제 구조체 (60) 는 균일하고 불균일한 공간, 일 이상의 스캘럽 표면, 및/또는 천공과 같은 위에 설명된 특성의 변화를 포함한다.
상술한 설명은 사실상 단지 분명히 보여주고 결코 본 명세서, 그 출원, 또는 이용을 제한하도록 의도되지 않는다. 본 명세서의 광범위한 교시는 다양한 형태로 구현될 수 있다. 따라서, 본 명세서는 특정한 실시예를 포함하는 반면에, 다른 변경이 도면, 명세서, 및 아래의 청구항의 고찰로 명백히 되므로 본 명세서의 진정한 범위는 제한되지 않아야 한다. 본 명세서에 이용된 것과 같이, 어구 A, B, 및 C 중 적어도 하나는 비배타적 논리의 "OR" 을 이용하여 논리상 (A 또는 B 또는 C) 를 의미하도록 해석되어야 한다. 방법에서 일 이상의 단계는 본 명세서의 본질을 변화하는것 없이 순서를 바꾸어 (또는 동시에) 실행될 수도 있는 것이 이해되어야 한다.
이 출원에서, 아래의 정의를 포함하여, 용어 제어기는 용어 회로와 교체될 수도 있다. 용어 제어기는 주문형 반도체 (ASIC); 디지털, 아날로그, 또는 혼합된 아날로그/디지털 이산 회로; 디지털, 아날로그, 또는 혼합된 아날로그/디지털 집적회로; 조합 논리 회로; 필드 프로그래머블 게이트 어레이 (FPGA); 코드를 실행하는 (공유, 전용, 또는 그룹) 프로세서; 프로세서에 의해 실행되는 코드를 저장하는 (공유, 전용, 또는 그룹) 메모리; 설명된 기능을 제공하는 다른 적합한 하드웨어 컴포넌트; 또는 시스템 온 칩과 같이 상기한 것의 일부 또는 전부의 조합을 언급하거나, 일부로 하거나, 포함할 수도 있다.
위에 이용된 것과 같이, 용어 코드는 소프트웨어, 펌웨어, 및/또는 마이크로코드를 포함할 수도 있고, 프로그램, 루틴, 함수, 클래스, 및/또는 오브젝트를 언급할 수도 있다. 용어 공유 프로세서는 복수의 제어기로부터 일부 또는 전부 코드를 실행하는 단일의 프로세서를 포함한다. 용어 그룹 프로세서는 추가적인 프로세서와 결합하여 일 이상의 제어기로부터 일부 또는 전부의 코드를 실행하는 프로세서를 포함한다. 용어 공유 메모리는 복수의 제어기로부터 일부 또는 전부의 코드를 저장하는 단일의 메모리를 포함한다. 용어 그룹 메모리는 추가적인 메모리와 결합하여 일 이상의 제어기로부터 일부 또는 전부의 코드를 저장하는 메모리를 포함한다. 용어 메모리는 용어 컴퓨터-판독가능 매체의 하위 집합일 수도 있다. 용어 컴퓨터-판독가능 매체는 매체를 통해 전파되는 일시적인 전기 및 전자기 신호를 포함하지 않고, 따라서 유형 (tangible) 이고 비일시적인 것으로 생각될 수도 있다. 비일시적인 유형의 컴퓨터 판독가능 매체는 비휘발성 메모리, 휘발성 메모리, 자기 스토리지 (storage), 및 광학 스토리지를 포함한다.
이 출원에 설명된 장치 및 방법은 일 이상의 프로세서에 의해 실행되는 일 이상의 컴퓨터 프로그램에 의해 부분적으로 또는 전적으로 구현될 수도 있다. 컴퓨터 프로그램은 적어도 하나의 비일시적인 유형의 컴퓨터 판독가능 매체 상에 저장된 프로세서로 실행가능한 명령어를 포함한다. 컴퓨터 프로그램은 또한 저장된 데이터에 의존하거나 저장된 데이터를 포함할 수도 있다.

Claims (36)

  1. 스템;
    상기 스템에 부착된 백 플레이트 (backplate);
    상기 백 플레이트에 부착된 페이스 플레이트 (faceplate);
    상기 페이스 플레이트 내에 배치된 전극; 및
    상기 스템 및 상기 백 플레이트를 통과하여 배치되고 상기 전극에 연결된 전도체를 포함하고,
    상기 스템, 상기 백 플레이트, 및 상기 페이스 플레이트는 비금속성 재료로 이루어지는, 샤워헤드.
  2. 제 1 항에 있어서,
    상기 비금속성 재료는 세라믹 재료인, 샤워헤드.
  3. 제 1 항에 있어서,
    상기 스템 및 상기 백 플레이트는 제1 비금속성 재료로 이루어지고, 그리고 상기 페이스 플레이트는 제2 비금속성 재료로 이루어지는, 샤워헤드.
  4. 제 1 항에 있어서,
    상기 스템 및 상기 백 플레이트는 제1 세라믹 재료로 이루어지고, 그리고 상기 페이스 플레이트는 제2 세라믹 재료로 이루어지는, 샤워헤드.
  5. 제 1 항에 있어서,
    상기 스템 및 상기 백 플레이트는 알루미나로 이루어지고, 그리고 상기 페이스 플레이트는 알루미늄 나이트라이드로 이루어지는, 샤워헤드.
  6. 제 1 항에 있어서,
    상기 전극은 상기 비금속성 재료와 유사한 열팽창 계수를 갖는 금속으로 이루어지는, 샤워헤드.
  7. 제 1 항에 있어서,
    상기 전극의 적어도 일부는 상기 페이스 플레이트의 기판 대면 표면에 반대편인 상기 페이스 플레이트의 표면보다 상기 페이스 플레이트의 상기 기판 대면 표면에 더 가깝게 배치되는, 샤워헤드.
  8. 제 1 항에 있어서,
    상기 전극의 적어도 일부는 상기 페이스 플레이트의 하반부 (lower half) 에 배치되는, 샤워헤드.
  9. 제 1 항에 있어서,
    상기 전극은 디스크 형상인, 샤워헤드.
  10. 제 1 항에 있어서,
    상기 페이스 플레이트는 홀들을 포함하고, 그리고 상기 전극은 상기 홀들의 패턴을 수용하는 방식으로 패터닝되는, 샤워헤드.
  11. 제 1 항에 있어서,
    상기 전도체는 무선 주파수 (RF) 전력을 수신하고 그리고 상기 무선 주파수 전력을 상기 전극에 공급하도록 구성되는, 샤워헤드.
  12. 제 1 항에 있어서,
    상기 전도체는 상기 스템의 벽에 임베디드되는 (embedded), 샤워헤드.
  13. 제 1 항에 있어서,
    상기 전도체는 적어도 하나의 로드 (rod) 를 포함하는, 샤워헤드.
  14. 제 1 항에 있어서,
    상기 전도체는 원통형인, 샤워헤드.
  15. 제 1 항에 있어서,
    상기 백 플레이트는 평면형 디스크를 포함하고, 상기 스템은 원통형인, 샤워헤드.
  16. 제 1 항에 있어서,
    상기 백 플레이트 및 상기 페이스 플레이트 중 적어도 하나는 플레넘을 규정하는 리세스를 포함하는, 샤워헤드.
  17. 제 16 항에 있어서,
    상기 페이스 플레이트는 상기 플레넘과 유체 연통하는 홀들을 포함하는, 샤워헤드.
  18. 제 16 항에 있어서,
    상기 스템 및 상기 백 플레이트를 통과하여 배치된 가스 채널을 더 포함하고, 상기 가스 채널은 상기 플레넘 내로 연장하는, 샤워헤드.
  19. 제 18 항에 있어서,
    상기 백 플레이트 내에 배치된 배플을 더 포함하고, 상기 가스 채널은 상기 배플에 연결되고 상기 배플을 통해 상기 플레넘 내로 연장하는, 샤워헤드.
  20. 제 18 항에 있어서,
    상기 전도체는 무선 주파수 전력을 수용하도록 구성된 복수의 로드들을 포함하고, 그리고 상기 가스 채널을 따른 전기장은 상기 로드들의 수에 반비례하는, 샤워헤드.
  21. 제 18 항에 있어서,
    상기 전도체는 원통형이고 적어도 상기 가스 채널의 일부를 둘러싸는, 샤워헤드.
  22. 제 1 항에 있어서,
    유전체 재료로 이루어진 칼라를 더 포함하고, 상기 스템은 상기 칼라에 부착되고, 그리고 상기 칼라는 프로세싱 챔버에 부착되는, 샤워헤드.
  23. 제 1 항에 있어서,
    상기 백 플레이트 위에 배치된 복수의 플레이트들을 더 포함하고, 상기 플레이트들은 세라믹 재료로 이루어지는, 샤워헤드.
  24. 제 23 항에 있어서,
    상기 플레이트들 사이의 간격을 규정하도록 구성된 하나 이상의 스페이서들을 더 포함하는, 샤워헤드.
  25. 제 24 항에 있어서,
    상기 플레이트들의 말단들을 인클로즈하기 (enclose) 위한 플러그들을 더 포함하는, 샤워헤드.
  26. 제 24 항에 있어서,
    상기 플레이트들은 균일하게 이격되는, 샤워헤드.
  27. 제 24 항에 있어서,
    상기 플레이트들 사이의 갭들은 상기 백 플레이트로부터 상기 플레이트들의 거리에 정비례하는, 샤워헤드.
  28. 제 24 항에 있어서,
    적어도 하나의 플레이트의 적어도 하나의 표면은 스캘럽형인 (scalloped), 샤워헤드.
  29. 제 24 항에 있어서,
    상기 플레이트들 중 적어도 하나는 천공들을 포함하는, 샤워헤드.
  30. 제 23 항에 있어서,
    상기 플레이트들 중 상단 플레이트 위에 배치된 절연 재료로 이루어진 칼라를 더 포함하고, 상기 스템은 상기 칼라에 부착되고 그리고 상기 칼라는 프로세싱 챔버에 부착되는, 샤워헤드.
  31. 제 1 항에 있어서,
    상기 스템 및 상기 백 플레이트를 통과하여 배치된 가스 채널을 더 포함하고,
    상기 백 플레이트 및 상기 페이스 플레이트 중 적어도 하나는 플레넘을 규정하는 리세스를 포함하고;
    상기 페이스 플레이트는 상기 플레넘과 유체 연통하는 홀들을 포함하고;
    상기 전도체는 무선 주파수 전력을 수용하도록 구성된 복수의 로드들을 포함하고; 그리고
    상기 가스 채널을 따른 전기장은 상기 로드들의 수에 반비례하는, 샤워헤드.
  32. 제 31 항에 있어서,
    상기 플레넘의 높이는 기생 플라즈마가 상기 플레넘 내에서 유지되는 것을 방지하도록 선택되는, 샤워헤드.
  33. 제 31 항에 있어서,
    상기 홀들의 직경은 플라즈마가 상기 홀들 내에서 점화되는 것을 방지하도록 선택되는, 샤워헤드.
  34. 제 31 항에 있어서,
    상기 홀들은 상이한 패턴들로 배열되는, 샤워헤드.
  35. 제 31 항에 있어서,
    상기 홀들은 균일한 직경을 갖는, 샤워헤드.
  36. 제 31 항에 있어서,
    상기 홀들은 2 이상의 상이한 크기들을 갖는, 샤워헤드.
KR1020230067152A 2013-02-28 2023-05-24 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드 KR102662453B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361770894P 2013-02-28 2013-02-28
US61/770,894 2013-02-28
US13/858,477 US9449795B2 (en) 2013-02-28 2013-04-08 Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US13/858,477 2013-04-08
KR1020220070766A KR102562923B1 (ko) 2013-02-28 2022-06-10 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020220070766A Division KR102562923B1 (ko) 2013-02-28 2022-06-10 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드

Publications (2)

Publication Number Publication Date
KR20230079333A KR20230079333A (ko) 2023-06-07
KR102662453B1 true KR102662453B1 (ko) 2024-04-30

Family

ID=51386937

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020140024538A KR102218724B1 (ko) 2013-02-28 2014-02-28 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
KR1020210020668A KR102409331B1 (ko) 2013-02-28 2021-02-16 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
KR1020220070766A KR102562923B1 (ko) 2013-02-28 2022-06-10 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
KR1020230067152A KR102662453B1 (ko) 2013-02-28 2023-05-24 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020140024538A KR102218724B1 (ko) 2013-02-28 2014-02-28 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
KR1020210020668A KR102409331B1 (ko) 2013-02-28 2021-02-16 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
KR1020220070766A KR102562923B1 (ko) 2013-02-28 2022-06-10 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드

Country Status (6)

Country Link
US (1) US9449795B2 (ko)
JP (1) JP6552155B2 (ko)
KR (4) KR102218724B1 (ko)
CN (1) CN104022008B (ko)
SG (1) SG2014006449A (ko)
TW (1) TWI623959B (ko)

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10217614B2 (en) 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6641968B2 (ja) * 2015-12-16 2020-02-05 コニカミノルタ株式会社 撮像レンズ,撮像光学装置及びデジタル機器
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR20180112794A (ko) * 2016-01-22 2018-10-12 어플라이드 머티어리얼스, 인코포레이티드 전도성 층들이 매립된 세라믹 샤워헤드
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108266447B (zh) * 2016-12-30 2020-06-09 中微半导体设备(上海)股份有限公司 一种螺丝组件及其应用的射频处理装置
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN108538694B (zh) * 2017-03-02 2020-04-28 北京北方华创微电子装备有限公司 一种腔室和等离子体处理装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
WO2018190218A1 (ja) * 2017-04-14 2018-10-18 住友電気工業株式会社 シャワーヘッド
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
TWI794240B (zh) * 2017-06-22 2023-03-01 美商應用材料股份有限公司 用於電漿處理的處理工具及電漿反應器
US11355321B2 (en) 2017-06-22 2022-06-07 Applied Materials, Inc. Plasma reactor with electrode assembly for moving substrate
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) * 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
CN113490765A (zh) 2019-03-08 2021-10-08 应用材料公司 用于处理腔室的多孔喷头
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020242710A1 (en) * 2019-05-29 2020-12-03 Lam Research Corporation Showerhead insert for uniformity tuning
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20220031700A (ko) 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TW202125573A (zh) 2019-11-16 2021-07-01 美商應用材料股份有限公司 具有嵌入式螺帽的噴淋頭
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
WO2022123674A1 (ja) * 2020-12-09 2022-06-16 株式会社Fuji 締結構造体、およびプラズマ発生装置
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100557273B1 (ko) * 2001-10-22 2006-03-07 어플라이드 머티어리얼즈 인코포레이티드 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기
US20090159424A1 (en) * 2007-12-19 2009-06-25 Wei Liu Dual zone gas injection nozzle
US20120222815A1 (en) * 2011-03-04 2012-09-06 Mohamed Sabri Hybrid ceramic showerhead

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62125624A (ja) * 1985-11-27 1987-06-06 Hitachi Ltd プラズマ処理装置
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH06232053A (ja) * 1993-02-04 1994-08-19 Ulvac Japan Ltd 真空処理装置
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH0849080A (ja) * 1994-08-08 1996-02-20 Kokusai Electric Co Ltd プラズマcvd装置に於けるガスクリーニング方法
JPH0851082A (ja) * 1994-08-08 1996-02-20 Kokusai Electric Co Ltd 半導体製造装置のサセプタ
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5637146A (en) * 1995-03-30 1997-06-10 Saturn Cosmos Co., Ltd. Method for the growth of nitride based semiconductors and its apparatus
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6051100A (en) 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
JPH11354504A (ja) * 1998-06-08 1999-12-24 Sony Corp ガラス基板処理装置
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
WO2000060658A1 (fr) * 1999-04-06 2000-10-12 Tokyo Electron Limited Electrode, etage de tranche, dispositif a plasma, et procede de fabrication d'une electrode et d'un etage de tranche
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
WO2000074127A1 (fr) * 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6494958B1 (en) 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US7661386B2 (en) * 2001-02-09 2010-02-16 Tokyo Electron Limited Film forming device
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6902620B1 (en) 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6989265B2 (en) 2002-01-23 2006-01-24 Wisconsin Alumni Research Foundation Bacteria with reduced genome
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
WO2003100817A1 (en) * 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
US6827789B2 (en) 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
JP3714924B2 (ja) 2002-07-11 2005-11-09 東京エレクトロン株式会社 プラズマ処理装置
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
KR100509231B1 (ko) * 2003-01-03 2005-08-22 주식회사 아이피에스 박막증착용 반응용기
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7982195B2 (en) 2004-09-14 2011-07-19 Axcelis Technologies, Inc. Controlled dose ion implantation
CN100358097C (zh) 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
JP4753306B2 (ja) * 2006-03-29 2011-08-24 東京エレクトロン株式会社 プラズマ処理装置
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20070266945A1 (en) * 2006-05-16 2007-11-22 Asm Japan K.K. Plasma cvd apparatus equipped with plasma blocking insulation plate
KR100831198B1 (ko) * 2006-05-19 2008-05-21 주식회사 아이피에스 웰딩형 샤워헤드
KR100845896B1 (ko) 2006-06-29 2008-07-16 주식회사 뉴파워 프라즈마 정전척과 피처리 기판 사이의 아킹을 방지하기 위한플라즈마 처리 시스템 및 방법
KR20080027009A (ko) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
KR20080061811A (ko) * 2006-12-28 2008-07-03 주식회사 케이씨텍 기판 표면처리장치
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US8733279B2 (en) * 2007-02-27 2014-05-27 Applied Materials, Inc. PECVD process chamber backing plate reinforcement
US8128750B2 (en) * 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP5038769B2 (ja) * 2007-04-27 2012-10-03 株式会社アルバック プラズマ処理装置
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
JP5417338B2 (ja) * 2007-10-31 2014-02-12 ラム リサーチ コーポレーション 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法
KR101161407B1 (ko) * 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR20100004857A (ko) 2008-07-03 2010-01-13 주성엔지니어링(주) 건식 에칭 장치
US20100000684A1 (en) 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
JP5643528B2 (ja) * 2009-03-30 2014-12-17 東京エレクトロン株式会社 基板処理装置
JP2010263049A (ja) * 2009-05-01 2010-11-18 Ulvac Japan Ltd ドライエッチング装置
CN102598218B (zh) * 2009-11-02 2015-04-01 东丽株式会社 等离子体cvd装置、及硅薄膜的制造方法
TWI539517B (zh) * 2010-02-12 2016-06-21 應用材料股份有限公司 使用於處理腔室之套件及使用用於氣流改良之套件之處理腔室
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5700632B2 (ja) * 2010-11-04 2015-04-15 東京エレクトロン株式会社 プラズマ処理装置
US20120225207A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US20140165911A1 (en) * 2012-12-14 2014-06-19 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100557273B1 (ko) * 2001-10-22 2006-03-07 어플라이드 머티어리얼즈 인코포레이티드 플라즈마에 튜닝되는 샤워헤드 rf 전극을 갖는 아킹 억제된 merie 플라즈마 반응기
US20090159424A1 (en) * 2007-12-19 2009-06-25 Wei Liu Dual zone gas injection nozzle
US20120222815A1 (en) * 2011-03-04 2012-09-06 Mohamed Sabri Hybrid ceramic showerhead

Also Published As

Publication number Publication date
TWI623959B (zh) 2018-05-11
US9449795B2 (en) 2016-09-20
CN104022008A (zh) 2014-09-03
KR20220084000A (ko) 2022-06-21
JP2014170742A (ja) 2014-09-18
CN104022008B (zh) 2017-08-29
TW201501171A (zh) 2015-01-01
KR20230079333A (ko) 2023-06-07
KR20140108178A (ko) 2014-09-05
KR102562923B1 (ko) 2023-08-03
JP6552155B2 (ja) 2019-07-31
KR102218724B1 (ko) 2021-02-22
SG2014006449A (en) 2014-09-26
US20140238608A1 (en) 2014-08-28
KR20210023915A (ko) 2021-03-04
KR102409331B1 (ko) 2022-06-14

Similar Documents

Publication Publication Date Title
KR102662453B1 (ko) 용량성 커플링된 플라즈마 반응기를 위한 무선주파수 전극이 임베디드된 세라믹 샤워헤드
US11130142B2 (en) Showerhead having a detachable gas distribution plate
US10790120B2 (en) Showerhead having a detachable high resistivity gas distribution plate
KR102019528B1 (ko) 기판 프로세싱 챔버 내의 기생 플라즈마의 기계적 억제
US20190139743A1 (en) Insulated semiconductor faceplate designs
KR102594473B1 (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
US8627783B2 (en) Combined wafer area pressure control and plasma confinement assembly
KR102266590B1 (ko) Tcp 코일 구역들 사이에 플라즈마 밀도 디커플링 구조를 갖는 페러데이 쉴드

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant