CN104022008A - 电容耦合等离子体反应器的有嵌入式rf 电极的陶瓷喷头 - Google Patents

电容耦合等离子体反应器的有嵌入式rf 电极的陶瓷喷头 Download PDF

Info

Publication number
CN104022008A
CN104022008A CN201410073021.6A CN201410073021A CN104022008A CN 104022008 A CN104022008 A CN 104022008A CN 201410073021 A CN201410073021 A CN 201410073021A CN 104022008 A CN104022008 A CN 104022008A
Authority
CN
China
Prior art keywords
backboard
panel
plate
gas
nozzle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410073021.6A
Other languages
English (en)
Other versions
CN104022008B (zh
Inventor
穆罕默德·萨布里
爱德华·奥古斯蒂尼克
道格拉斯·L·基尔
拉姆基什安·拉奥·林安帕里
卡尔·利泽
科迪·巴尼特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN104022008A publication Critical patent/CN104022008A/zh
Application granted granted Critical
Publication of CN104022008B publication Critical patent/CN104022008B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及电容耦合等离子体反应器的有嵌入式RF电极的陶瓷喷头,具体而言,用于衬底处理系统的喷头组件包括连接到气体通道的背板。面板被相邻连接到背板的第一表面且包括气体扩散表面。电极布置在背板和面板之一中且被连接到一或多个导体。气体空间被限定在背板和面板之间且与气体通道流体连通。背板和面板由非金属材料制成。

Description

电容耦合等离子体反应器的有嵌入式RF 电极的陶瓷喷头
相关申请交叉参考 
本申请要求2013年2月28日提交的、申请号为61/770,894的美国临时申请的权益。前述参考申请的全部公开内容通过参考并入本文。 
技术领域
本公开涉及衬底处理系统,特别是涉及衬底处理系统的喷头。 
背景技术
此处提供背景技术描述是出于总体上呈现本公开的背景的目的。没有明示或默示地承认在该背景技术部分中所描述的本发明人的工作以及其他在提交时可能不符合现有技术的说明书内容是针对本公开的现有技术。 
衬底处理系统通常包括具有一或多个反应容积腔(reaction volume)的处理室。反应容积腔中通常设有基架。诸如半导体晶片之类的衬底被置于基架上。利用喷头将一或多种工艺气体输送到反应容积腔,并可在反应容积腔中点燃等离子体。诸如介电层之类的膜被形成在衬底上。 
喷头可被用在电容耦合等离子体(CCP)反应器中。喷头在衬底上方分配工艺气体并作为射频(RF)电极使用以激励等离子体。喷头通常由金属材料制成。金属电极大幅减少或消除了喷头的气体空间(gas plenum)内的电场从而防止喷头内的等离子体形成以及气体的过早激活。 
用于CCP反应器的喷头通常由铝制成并包括焊接到主体的面板(face plate)。喷头的面板通常具有多个隔开的气孔以在衬底的暴露表面上方提供均匀的气体分配。RF电压可被施加给喷头、给另一电极(比如基架)、或者给二者。 
铝喷头在许多工艺化学品(或者气体组合物)下运行良好。然而,铝与从金属表面提取元素的工艺气体不相容。特别地,基于氯的化学品往往在超过300℃的工作温度下提取铝。作为含氯气体化学侵蚀喷头的金属表面的结果,金属材料可能到达沉积在衬底上的膜中。这对衬底上的器件制造往往是有害的。例如,在某些情况下,金属材料成为可危害集成器件操作的掺杂物。 
不希望有的金属污染还可发生在处理室的清洁过程中。因为通常用原子氟清洁,所以面板(喷头的最热区域)与氟反应并形成氟化铝。当氟化铝的厚度随着时间增大,喷头表面的性质(例如,粗糙度、导电性和发射率)改变。结果,沉积工艺可漂移且处于颗粒污染的高风险下。 
此外,喷头的设计不允许在喷头被安装在处理室中时清洁喷头的内部(因为它被焊接)。在极端的情况下,面板的气孔内的铝表面的氟化可改变所述孔的直径并改变气流的均匀性。 
一些金属材料(比如铝)在较高的温度(400℃之上)变得更软且喷头的面板会开始下垂。这可引起气流和等离子体密度分布的变化。 
发明内容
用于衬底处理系统的喷头组件包括连接到气体通道的背板(back plate)。面板(face plate)被相邻连接到背板的第一表面且包括气体扩散表面。电极布置在背板和面板之一中且被连接到一或多个导体。气体空间(gas plenum)被限定在背板和面板之间且与气体通道流体连通。背板和面板由非金属材料制成。 
在其它特征中,杆(stem)被连接到背板并限定气体通道。所述一或多个导体穿过所述杆。 
在其它特征中,面板的气体扩散表面包括间隔开的孔,所述面板的所述气体扩散表面包括穿孔或者所述面板的所述气体扩散表面是多孔的。 
在其它特征中,邻近背板的第二表面布置等离子体抑制结构。背板的第一表面在背板的第二表面的对面。 
在其它特征中,等离子体抑制结构包括以间隔关系彼此平行设置的N个板。N是大于1的整数,且所述N个板由介电材料制成。 
在其它特征中,等离子体抑制结构包括从所述N个板之一向衬底处理系统的处理室的上表面延伸的轴环。所述轴环由介电材料制成。 
在其它特征中,N大于2且所述N个板以均匀的方式间隔。N大于2且所述N个板中的至少一些以不均匀的方式间隔。所述N个板中的至少一个包括多个穿孔。所述N个板中的至少一个包括锯齿表面。所述杆、背板和面板由陶瓷材料制成。所述杆、背板和面板由氮化铝和氧化铝中的至少一者制成。 
在其它特征中,面板被连接到背板以允许所述面板相对于所述背板的横向移动,同时保持它们之间的密封。 
在其它特征中,挡板被布置在气体通道和气体空间之间。轴环将所述杆连接到衬底处理室的上表面。背板通过紧固件连接到面板。气体空间的高度大小小于预计等离子体鞘厚度的两倍。电极和背板的第一表面之间的第一尺寸小于电极和背板的第二表面之间的第二尺寸。背板的第一表面在背板的第二表面的对面。所述电极是盘形的。 
在其它特征中,衬底处理系统包括处理室,处理室包括反应容积腔。喷头组件布置在所述反应容积腔中。基架邻近面板布置在所述反应容积腔中。 
在其它特征中,射频(RF)电路被配置来提供具有大于1MHz的频率的RF信号给所述一或多个导体。控制器被配置来控制流到气体通道的工艺气体和控制所述RF电路的操作。 
在其它特征中,一或多个导体包括围绕气体通道的圆筒形导体。 
从详细描述、权利要求和附图,本公开的其它应用领域会变得显而易见。详细描述和具体实施例出于说明目的而不是意在限制本公开的范围。 
附图说明
由详细描述和附图,将更全面地理解本公开,其中: 
图1A和1B是根据本公开的衬底处理系统的实施例的功能框图和简化的剖视图; 
图2A和2B是根据本公开的喷头的实施例的一部分的局部剖视图; 
图3示出了在等离子体抑制结构的板之间的不均匀间隔的示例; 
图4示出了在等离子体抑制结构的板上的带齿表面(scalloped surface)的示例;以及 
图5示出了等离子体抑制结构的带孔的板的示例。 
在附图中,可重复使用附图标记以标识类似和/或相同的元件。 
具体实施方式
图1A、1B、2A和2B示出了根据本公开的喷头10的实施例。在图1A中,喷头10包括背板20、杆24和面板30。在一些实施例中,喷头10的背板20、杆24和面板30由非金属材料(比如陶瓷材 料)制成。虽然示出了杆24,但杆24可被省略且背板可被布置在处理室的表面上、邻近处理室的表面布置和/或凹进处理室的表面中(图1B)。在一些实施例中,陶瓷材料包括氮化铝(AlN)、氧化铝(Al2O3)或其它合适的陶瓷材料。 
在一些实施例中,背板20包括大体平坦的盘(planar disc)。杆24连接到背板20。在一些实施例中,杆24是圆筒形的且杆24的轴垂直连接到包括背板20的平面。仅仅作为例子,杆24和背板20可利用扩散接合或钎焊固定地连接在一起。替代地,杆24和背板20可利用紧固件、公母连接件或其它方法可拆卸地连接。 
杆24限定轴向延伸穿过杆24的气体通道34。气体流过气体通道34到可选的挡板38上并进入气体空间32,气体空间32被限定在背板20和面板30之间。背板20和面板30中的一者或二者可包括凹部36以限定气体空间32的高度。 
面板30限定作为气体空间32和反应容积腔44之间的气体扩散器的气体扩散表面41。气体扩散表面41可以是穿孔的、具有孔、或是多孔的,等等。仅仅以图1A举例,气体空间32中的工艺气体可流过面板30的隔开的孔42进入反应容积腔44。隔开的孔42以相对均匀的方式在置于基架48上的衬底46的整个暴露表面上分配工艺气体。 
射频(RF)电极50被嵌在背板20(图1和2A)或面板30(图2B)内。一或多个导体或连杆54穿过杆24和部分背板20。导体54与RF电极50电接触。在一些实施例中,使用四个导体54,但也可使用更多或更少的导体54。沿着气体通道34的电场随着连杆的数量的增加而减少。在一些实施例中,导体54是圆筒形的且围绕气体通道34。一或多个导体54可连接到RF电压或连接到参考电位(比如,如果喷头10被构造为接地电极,则一或多个导体54连接到地电位)。 
喷头10包括等离子体抑制结构60,等离子体抑制结构60布置在背板20的上表面和处理室的上表面之间以减少或消除通过背板20驱动的寄生放电。在一些实施例中,等离子体抑制结构60可根据2011 年11月23日提交的、申请序号为13/303,386、名称为“MECHANICAL SUPPRESSION OF PARASITIC PLASMA IN SUBSTRATE PROCESSING CHAMBER”的共同受让的美国专利申请进行制造,该申请通过参考全文并入本文。 
杆24可被附着到轴环80(collar)。轴环80进而可被附着到处理室的上表面。轴环80可包括杆85和自邻近轴环80的顶部的杆85径向向外延伸的凸缘86。轴环80可由介电(绝缘)材料制成且可具有使耦合到接地的电容最小化的维度(即可具有厚度或高度)。阀90和泵92可被用来在反应容积腔44中创建真空。 
面板30以一定方式被附着到背板20以提供气体密封以及在它们之间允许因热膨胀的差异而引起的相对横向移动。此处所用的横向移动是指与包括面板的平面平行的移动。换句话说,面板30被安装到背板20使得面板30能够热膨胀却不传递应力给背板20,同时密封气体空间32内的气体/蒸汽。 
从图2A中最清楚可见,在一些实施例中,背板20和面板30的边缘使用紧固件64连接。在一些实施例中,紧固件64包括与垫圈67一起预装的螺丝66。螺丝66可被旋转直到预定转矩被施加以维持面板30和背板20之间的接触。在一些实施例中,紧固件64可以是带肩螺钉而垫圈67可以是弹簧垫圈。带肩螺钉施加预定的压力给弹簧垫圈以产生预定义的负荷。在一些实施例中,喷头10的面板30可通过去除紧固件64而进行替换,安装新的面板并重新安装紧固件64。 
在一些实施例中,面板30中的隔开的孔42具有0.02英寸至0.06英寸范围内的直径以防止隔开的孔42内部的等离子体点燃。面板30的隔开的孔42可以不同的图案被布置以优化片上膜的性质。隔开的孔42的数量可在50至6000的范围内,但也可使用更多或更少的孔。针对给定的面板,隔开的孔42的直径可以是相同的,或者针对给定的面板,可使用两或更多种不同的尺寸。 
在一些实施例中,嵌在背板20中的RF电极50具有盘形且由具有第一热膨胀系数(CTE)的金属制成,该第一CTE与用于喷头10的材料的第二CTE不相上下。从图2B中可见,电极50可被布置在面板30中。可以理解的是,电极50可按一定方式图案化以适应隔开的孔42的图案。背板20或面板30中的RF电极50使高频RF能够穿过喷头10的气体空间32却不点火。 
从图2A中最清楚可见,在一些实施例中,RF电极50被设置得尽量靠近背板20的面向衬底的下表面以改善功率耦合(图2A中的尺寸d2)。在一些实施例中,尺寸d1大于尺寸d2。导体54被嵌在杆24的壁中以将RF电极50连接到RF电路70。在一些实施例中,导体54被设置来使沿着通道的电场最小化,通过所述通道,气体被引入。面板30可具有任意合适的厚度(尺寸d4)。 
当RF电极50被嵌在背板20中时,驱动放电的电场需要穿过气体空间32和面板30。在一些实施例中,气体空间的高度大小(图2A中的尺寸d3)小于预计等离子体鞘厚度的两倍。利用这种方法,确保了寄生等离子体放电不能维持。在一些实施例中,尺寸d3是1/8英寸或更小从而防止在气体空间32内部维持寄生等离子体的情况以及从而使跨越气体空间32的压降最小化。 
从图1A中最清楚可见,当RF电极50被嵌在背板20内部时,喷头10的背面或上表面上的寄生放电的抑制可利用等离子体抑制结构60来执行。在一些实施例中,等离子体抑制结构60包括两或更多间隔开的板100-1、100-2、……、以及100-N(统称为板100),其中N是大于1的整数。在一些实施例中,N等于5,但也可使用更多或更少的板100。在一些实施例中,板100由陶瓷材料或任意其它合适的介电材料制成。板100之间的间隔被优化从而防止板100之间的自持放电,以及从而显著降低等离子体抑制结构60内的电压使得寄生放电在等离子体抑制结构60后面不能持久。一或多个垫片102可被提供来限定等离子体抑制结构60的板100之间的间隔。此外,可在板100 的最上面一个(在图1A的实施例中是100-5)上方且围绕轴环80的杆85设置轴环110。轴环110可由介电材料制成。 
控制器120可被连接到一或多个传感器124,传感器124可被布置在处理室的内部和外部。传感器124感测系统操作条件且可包括压力传感器、温度传感器和/或其它传感器。控制器120利用一或多个质量流量控制器(MFC)128和阀130选择性地从气源126供应工艺气体给气体通道34。 
在一些实施例中,RF电极50被连接到RF电路70,RF电路70提供高频激励。在一些实施例中,高频激励大于或等于1MHz。陶瓷材料的叠摞层和气体空间32创建了增加放电阻抗的电容结构。随着激励频率增大,阻抗减小。为了提供喷头10的高效运行,大部分输送功率应当在放电时在衬底上方耗散。喷头10后面的等离子体被认为是寄生的。为了使衬底上方的功率耗散最大化,通过面板30的阻抗小于通过背板20的阻抗(否则背面等离子体会消耗很大部分输送功率)。当喷头10包括嵌在背板20中的RF电极50时,更多功率倾向于通过背板20耦合。利用等离子体抑制结构60可减少这种情况。 
可根据阻抗模型分析功率耦合。针对发生在面板前面(即,在晶片上方)的等离子体放电,阻抗Zface被估算为: 
Z face = Σ 1 2 π fC i = Σ d i 2 π fAϵ 0 ϵ i = 1 2 πfA ϵ 0 Σ d i ϵ i = 1 2 πfA ϵ 0 ( d 2 9 + d 3 + d 4 9 )
其中Ci是层i的电容,A是面积,f是频率,di是层i的厚度,ε0是介电常数,ε24=9,其是AlN或Al2O3的介电常数,且ε3=1,其是真空的介电常数。 
因为AlN或Al2O3的介电常数为大约9,所以板对阻抗的作用比类似厚度的真空间隙要小得多。针对发生在喷头的背面上方的等离子体放电(寄生等离子体),没有等离子体抑制结构60的情况下的阻抗被估算为针对没有等离子体抑制结构60的喷头,由于气体空间的高阻抗(ε=1),Zface>Zback。为了抵消,将具有大阻抗 Zsupp的等离子体抑制结构60安装在背板20上以保证Zface<<Zback+Zsupp。 
等离子体抑制结构60的阻抗主要取决于板100之间的真空间隙。但如果所述间隙过宽,则板100之间可发生电容性寄生放电。 
上面所应用的阻抗模型采用平行板电容器和均匀电场。事实上,会出现边缘场,其会改变上述结果,但预计这种影响是小的。它在最靠近RF电极50处最高。因此,第一间隙(在背板20和等离子体抑制结构60的第一板100-1之间)最易于点燃寄生放电。 
在一些实施例中,板间间隙是均匀的。在其它实施例中,板间间隙是不均匀的。仅仅以图3为例,板间间隙200-1、200-2、200-3、200-4和200-5(统称为板间间隙200)中的一些或全部可随着离背板20的距离的增加而增加。仅仅作为例子,板间间隙200可依照40-60-100-150-200(以密耳(mil)为单位)的方式增大,但也可使用其它值。可以理解的是,可例如利用一或多个塞子(未图示)封闭板200的端部。 
防止等离子体抑制结构60的板100之间的板间寄生放电依赖于间隙宽度和等离子体鞘厚度的关系。例如在图4中,针对不希望的点燃的改进的保护可通过包括一或多个锯齿或带齿表面230的板220-1、220-2、220-3和220-4(统称为板220)实现。虽然针对每个板220只示出了一个带齿表面230,但每个板220的两个表面均可带齿。高比表面积为离子和电子提供了更多重组位置,增加了它们的损失率并使得自持寄生放电可能性较小。可以理解的是,可例如利用一或多个塞子(未图示)封闭板220的端部。 
例如在图5中,针对不希望的点燃的改进的保护可通过板250-1、250-2和250-3(统称为板250)实现,每个板250包括一或多个穿孔260。高比表面积为离子和电子提供了更多重组位置,增加了它们的损失率并使得自持寄生放电可能性较小。可以理解的是,可例如利用一或多个塞子(未图示)封闭板250的端部。 
可以理解的是,用于给定衬底处理室的等离子体抑制结构60可包括上述特征的变化例,比如均匀和不均匀的间隔、一或多个带齿表面和/或穿孔。 
上述内容在本质上仅仅是说明性的且绝不意在限制本公开、其应用、或者用途。本公开的广泛的教导能够以各种形式实施。因此,虽然本公开包括具体实施例,但本公开的真实范围不应受此限制,因为在学习附图、说明书和接下来的权利要求的基础上,其它修改方案会变得显而易见。本文所使用的短语A、B和C中的至少一者应当被解释为表示使用非排他性的逻辑或的逻辑(A或B或C)。应当理解的是,在不改变本公开的原理的情况下,方法中的一或多个步骤可按不同的顺序(或同时)执行。 
在本申请中,包括下面的定义,术语控制器可用术语电路代替。术语控制器可以指下述部件、可以是下述部件的部分或者可以包括下述部件:专用集成电路(ASIC);数字、模拟、或混合模拟/数字分立电路;数字、模拟、或混合模拟/数字集成电路;组合逻辑电路;现场可编程门阵列(FPGA);执行代码的处理器(共享的、专用的、或群组);存储由处理器执行的代码的存储器(共享的、专用的、或群组);提供上述功能的其它合适的硬件部件;或者上述中的一些或全部的组合(比如在片上系统中)。 
上面所使用的术语代码可包括软件、固件和/或微代码,且可以指程序、例程、函数、类和/或对象。术语共享处理器包含执行来自多个控制器的一些或全部代码的单个处理器。术语群组处理器包含与其它处理器组合、执行来自一或多个控制器的一些或全部代码的处理器。术语共享存储器包含存储来自多个控制器的一些或全部代码的单个存储器。术语群组存储器包含与其它存储器组合、存储来自一或多个控制器的一些或全部代码的存储器。术语存储器可以是术语计算机可读介质的子集。术语计算机可读介质不包含通过介质传播的临时性的电信号和电磁信号,且因此可被认为是有形的和非临时性的。非临 时性的有形的计算机可读介质的非限制性示例包括非易失性存储器、易失性存储器、磁存储器和光存储器。 
本申请中所记载的装置和方法可通过由一或多个处理器执行的一或多个计算机程序部分或全部地实施。计算机程序包括存储在至少一个非临时性的有形的计算机可读介质上的处理器可执行的指令。计算机程序还可包括和/或依赖于所存储的数据。 

Claims (22)

1.一种用于衬底处理系统的喷头组件,其包括:
连接到气体通道的背板;
相邻连接到所述背板的第一表面且包括气体扩散表面的面板;
一或多个导体;以及
布置在所述背板和所述面板之一中且被连接到所述一或多个导体的电极,
其中气体空间被限定在所述背板和所述面板之间且与所述气体通道流体连通,且
其中所述背板和所述面板由非金属材料制成。
2.如权利要求1所述的喷头组件,其还包括
连接到所述背板并限定所述气体通道的杆,
其中所述杆由非金属材料制成,且
其中所述一或多个导体穿过所述杆。
3.如权利要求1所述的喷头组件,其中有下列之一:
所述面板的所述气体扩散表面包括间隔开的孔;
所述面板的所述气体扩散表面包括穿孔;或者
所述面板的所述气体扩散表面是多孔的。
4.如权利要求2所述的喷头组件,其还包括邻近所述背板的第二表面布置的等离子体抑制结构,其中所述背板的所述第一表面在所述背板的所述第二表面的对面。
5.如权利要求4所述的喷头组件,其中所述等离子体抑制结构包括以间隔关系彼此平行设置的N个板,其中N是大于1的整数,其中所述N个板由介电材料制成。
6.如权利要求5所述的喷头组件,其中所述等离子体抑制结构包括从所述N个板之一向所述衬底处理系统的处理室的上表面延伸的轴环,且其中所述轴环由介电材料制成。
7.如权利要求5所述的喷头组件,其中N大于2且所述N个板以均匀的方式间隔。
8.如权利要求5所述的喷头组件,其中N大于2且所述N个板中的至少一些以不均匀的方式间隔。
9.如权利要求5所述的喷头组件,其中所述N个板中的至少一个包括多个穿孔。
10.如权利要求5所述的喷头组件,其中所述N个板中的至少一个包括锯齿表面。
11.如权利要求2所述的喷头组件,其中所述杆、所述背板和所述面板由陶瓷材料制成。
12.如权利要求2所述的喷头组件,其中所述杆、所述背板和所述面板由氮化铝和氧化铝中的至少一者制成。
13.如权利要求1所述的喷头组件,其还包括布置在所述气体通道和所述气体空间之间的挡板。
14.如权利要求2所述的喷头组件,其还包括将所述杆连接到衬底处理室的上表面的轴环。
15.如权利要求1所述的喷头组件,其中所述面板被连接到所述背板以允许所述面板相对于所述背板的横向移动,同时保持它们之间的密封。
16.如权利要求1所述的喷头组件,其中所述气体空间的高度大小小于预计等离子体鞘厚度的两倍。
17.如权利要求1所述的喷头组件,其中所述电极和所述背板的所述第一表面之间的第一尺寸小于所述电极和所述背板的第二表面之间的第二尺寸,其中所述背板的所述第一表面在所述背板的所述第二表面的对面。
18.如权利要求1所述的喷头组件,其中所述电极是盘形的。
19.如权利要求2所述的喷头组件,其中所述一或多个导体包括围绕所述气体通道的圆筒形导体。
20.一种衬底处理系统,其包括:
包括反应容积腔的处理室;
布置在所述反应容积腔中的如权利要求1所述的喷头组件;
以及
邻近所述面板布置在所述反应容积腔中的基架。
21.如权利要求20所述的衬底处理系统,其还包括:
配置来提供具有大于1MHz的频率的RF信号给所述一或多个导体的射频(RF)电路;以及
配置来控制流到所述气体通道的工艺气体和控制所述RF电路的操作的控制器。
22.如权利要求21所述的衬底处理系统,其中所述背板直接连接到所述处理室的壁。
CN201410073021.6A 2013-02-28 2014-02-28 电容耦合等离子体反应器的有嵌入式rf 电极的陶瓷喷头 Active CN104022008B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361770894P 2013-02-28 2013-02-28
US61/770,894 2013-02-28
US13/858,477 US9449795B2 (en) 2013-02-28 2013-04-08 Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US13/858,477 2013-04-08

Publications (2)

Publication Number Publication Date
CN104022008A true CN104022008A (zh) 2014-09-03
CN104022008B CN104022008B (zh) 2017-08-29

Family

ID=51386937

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410073021.6A Active CN104022008B (zh) 2013-02-28 2014-02-28 电容耦合等离子体反应器的有嵌入式rf 电极的陶瓷喷头

Country Status (6)

Country Link
US (1) US9449795B2 (zh)
JP (1) JP6552155B2 (zh)
KR (4) KR102218724B1 (zh)
CN (1) CN104022008B (zh)
SG (1) SG2014006449A (zh)
TW (1) TWI623959B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108538694A (zh) * 2017-03-02 2018-09-14 北京北方华创微电子装备有限公司 一种腔室和等离子体处理装置
CN109427533A (zh) * 2017-08-30 2019-03-05 Asm知识产权私人控股有限公司 衬底处理设备
CN109891565A (zh) * 2016-11-09 2019-06-14 朗姆研究公司 有源喷头
CN113924635A (zh) * 2019-05-29 2022-01-11 朗姆研究公司 用于均匀度调整的喷头插件

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10217614B2 (en) 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6641968B2 (ja) * 2015-12-16 2020-02-05 コニカミノルタ株式会社 撮像レンズ,撮像光学装置及びデジタル機器
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108266447B (zh) * 2016-12-30 2020-06-09 中微半导体设备(上海)股份有限公司 一种螺丝组件及其应用的射频处理装置
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
WO2018190218A1 (ja) * 2017-04-14 2018-10-18 住友電気工業株式会社 シャワーヘッド
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
TWI794240B (zh) * 2017-06-22 2023-03-01 美商應用材料股份有限公司 用於電漿處理的處理工具及電漿反應器
US11355321B2 (en) 2017-06-22 2022-06-07 Applied Materials, Inc. Plasma reactor with electrode assembly for moving substrate
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2022523541A (ja) 2019-03-08 2022-04-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバ用の多孔性シャワーヘッド
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
JP2022540607A (ja) 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TW202125573A (zh) 2019-11-16 2021-07-01 美商應用材料股份有限公司 具有嵌入式螺帽的噴淋頭
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US12016092B2 (en) 2019-12-05 2024-06-18 Applied Materials, Inc. Gas distribution ceramic heater for deposition chamber
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN113136565A (zh) 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 形成薄膜的方法和改性薄膜的表面的方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US12011731B2 (en) 2020-07-10 2024-06-18 Applied Materials, Inc. Faceplate tensioning method and apparatus to prevent droop
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
JPWO2022123674A1 (zh) * 2020-12-09 2022-06-16
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06232053A (ja) * 1993-02-04 1994-08-19 Ulvac Japan Ltd 真空処理装置
US20050173569A1 (en) * 2004-02-05 2005-08-11 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20090205782A1 (en) * 1999-05-26 2009-08-20 Tadahiro Ohmi Plasma processing apparatus
CN101647098A (zh) * 2007-03-29 2010-02-10 朗姆研究公司 半导体材料处理设备的镀铝部件和制造该部件的方法
TW201250827A (en) * 2011-03-04 2012-12-16 Novellus Systems Inc Hybrid ceramic showerhead

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62125624A (ja) * 1985-11-27 1987-06-06 Hitachi Ltd プラズマ処理装置
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
JPH0849080A (ja) * 1994-08-08 1996-02-20 Kokusai Electric Co Ltd プラズマcvd装置に於けるガスクリーニング方法
JPH0851082A (ja) * 1994-08-08 1996-02-20 Kokusai Electric Co Ltd 半導体製造装置のサセプタ
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5637146A (en) * 1995-03-30 1997-06-10 Saturn Cosmos Co., Ltd. Method for the growth of nitride based semiconductors and its apparatus
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6051100A (en) 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
JPH11354504A (ja) * 1998-06-08 1999-12-24 Sony Corp ガラス基板処理装置
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP3725430B2 (ja) * 1999-04-06 2005-12-14 東京エレクトロン株式会社 電極およびプラズマ処理装置
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6494958B1 (en) 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
KR100922241B1 (ko) * 2001-02-09 2009-10-15 도쿄엘렉트론가부시키가이샤 성막 장치 및 샤워헤드 구조체
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
US6902620B1 (en) 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6989265B2 (en) 2002-01-23 2006-01-24 Wisconsin Alumni Research Foundation Bacteria with reduced genome
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
EP1512164B1 (en) * 2002-05-23 2016-01-06 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
US6827789B2 (en) 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
JP3714924B2 (ja) 2002-07-11 2005-11-09 東京エレクトロン株式会社 プラズマ処理装置
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
KR100509231B1 (ko) * 2003-01-03 2005-08-22 주식회사 아이피에스 박막증착용 반응용기
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7982195B2 (en) 2004-09-14 2011-07-19 Axcelis Technologies, Inc. Controlled dose ion implantation
CN100358097C (zh) 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
JP4753306B2 (ja) * 2006-03-29 2011-08-24 東京エレクトロン株式会社 プラズマ処理装置
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20070266945A1 (en) * 2006-05-16 2007-11-22 Asm Japan K.K. Plasma cvd apparatus equipped with plasma blocking insulation plate
KR100831198B1 (ko) * 2006-05-19 2008-05-21 주식회사 아이피에스 웰딩형 샤워헤드
KR100845896B1 (ko) 2006-06-29 2008-07-16 주식회사 뉴파워 프라즈마 정전척과 피처리 기판 사이의 아킹을 방지하기 위한플라즈마 처리 시스템 및 방법
KR20080027009A (ko) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
KR20080061811A (ko) * 2006-12-28 2008-07-03 주식회사 케이씨텍 기판 표면처리장치
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US8733279B2 (en) * 2007-02-27 2014-05-27 Applied Materials, Inc. PECVD process chamber backing plate reinforcement
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP5038769B2 (ja) * 2007-04-27 2012-10-03 株式会社アルバック プラズマ処理装置
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
TWI508129B (zh) * 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR101161407B1 (ko) 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치
US8298338B2 (en) 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20100000684A1 (en) 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
KR20100004857A (ko) 2008-07-03 2010-01-13 주성엔지니어링(주) 건식 에칭 장치
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
JP5643528B2 (ja) * 2009-03-30 2014-12-17 東京エレクトロン株式会社 基板処理装置
JP2010263049A (ja) * 2009-05-01 2010-11-18 Ulvac Japan Ltd ドライエッチング装置
WO2011052463A1 (ja) * 2009-11-02 2011-05-05 東レ株式会社 プラズマcvd装置、および、シリコン薄膜の製造方法
CN102763199B (zh) * 2010-02-12 2016-01-20 应用材料公司 处理腔室之气流改良
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5700632B2 (ja) * 2010-11-04 2015-04-15 東京エレクトロン株式会社 プラズマ処理装置
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20120225207A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US20140165911A1 (en) * 2012-12-14 2014-06-19 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06232053A (ja) * 1993-02-04 1994-08-19 Ulvac Japan Ltd 真空処理装置
US20090205782A1 (en) * 1999-05-26 2009-08-20 Tadahiro Ohmi Plasma processing apparatus
US20050173569A1 (en) * 2004-02-05 2005-08-11 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
CN101647098A (zh) * 2007-03-29 2010-02-10 朗姆研究公司 半导体材料处理设备的镀铝部件和制造该部件的方法
TW201250827A (en) * 2011-03-04 2012-12-16 Novellus Systems Inc Hybrid ceramic showerhead

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109891565A (zh) * 2016-11-09 2019-06-14 朗姆研究公司 有源喷头
CN109891565B (zh) * 2016-11-09 2024-04-26 朗姆研究公司 有源喷头
CN108538694A (zh) * 2017-03-02 2018-09-14 北京北方华创微电子装备有限公司 一种腔室和等离子体处理装置
CN109427533A (zh) * 2017-08-30 2019-03-05 Asm知识产权私人控股有限公司 衬底处理设备
CN109427533B (zh) * 2017-08-30 2021-09-07 Asm知识产权私人控股有限公司 衬底处理设备
CN113924635A (zh) * 2019-05-29 2022-01-11 朗姆研究公司 用于均匀度调整的喷头插件

Also Published As

Publication number Publication date
TWI623959B (zh) 2018-05-11
JP6552155B2 (ja) 2019-07-31
SG2014006449A (en) 2014-09-26
KR20210023915A (ko) 2021-03-04
CN104022008B (zh) 2017-08-29
KR102218724B1 (ko) 2021-02-22
KR20220084000A (ko) 2022-06-21
KR102409331B1 (ko) 2022-06-14
US20140238608A1 (en) 2014-08-28
KR102662453B1 (ko) 2024-04-30
KR102562923B1 (ko) 2023-08-03
TW201501171A (zh) 2015-01-01
JP2014170742A (ja) 2014-09-18
KR20230079333A (ko) 2023-06-07
US9449795B2 (en) 2016-09-20
KR20140108178A (ko) 2014-09-05

Similar Documents

Publication Publication Date Title
CN104022008A (zh) 电容耦合等离子体反应器的有嵌入式rf 电极的陶瓷喷头
US11130142B2 (en) Showerhead having a detachable gas distribution plate
US10854492B2 (en) Edge ring assembly for improving feature profile tilting at extreme edge of wafer
KR102019528B1 (ko) 기판 프로세싱 챔버 내의 기생 플라즈마의 기계적 억제
KR100511803B1 (ko) 반도체장치의제조방법
JP2019533274A (ja) プラズマ処理チャンバ用プラズマスクリーン
US20120024449A1 (en) Parasitic plasma prevention in plasma processing chambers
JP4878188B2 (ja) 基板処理装置、堆積物モニタ装置、及び堆積物モニタ方法
KR20150072350A (ko) 기판 처리 장치
JP2012004160A (ja) 基板処理方法及び基板処理装置
KR20100109477A (ko) 기판 처리 장치
KR20200137999A (ko) 도브테일 홈 가공 방법 및 기판 처리 장치
KR20090103447A (ko) 플라즈마 처리 장치, 플라즈마 제어 장치, 및 플라즈마처리 장치의 제어 방법
JP2022535285A (ja) 湾曲面を有する面板
KR101695380B1 (ko) 유도 결합 플라즈마 처리 장치
KR20200132702A (ko) 플라즈마 처리 장치
TW201738953A (zh) 電漿處理裝置
WO2018226323A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
KR20060134689A (ko) 플라즈마 공정 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant