TW201501171A - 具有電容耦合電漿反應器用嵌入式射頻電極之陶瓷噴淋頭 - Google Patents

具有電容耦合電漿反應器用嵌入式射頻電極之陶瓷噴淋頭 Download PDF

Info

Publication number
TW201501171A
TW201501171A TW103106826A TW103106826A TW201501171A TW 201501171 A TW201501171 A TW 201501171A TW 103106826 A TW103106826 A TW 103106826A TW 103106826 A TW103106826 A TW 103106826A TW 201501171 A TW201501171 A TW 201501171A
Authority
TW
Taiwan
Prior art keywords
panel
backing plate
gas
showerhead assembly
showerhead
Prior art date
Application number
TW103106826A
Other languages
English (en)
Other versions
TWI623959B (zh
Inventor
Sabri Mohamed
Edward Augustyniak
Douglas L Keil
Ramkishan Rao Lingampalli
Karl Leeser
Cody Barnett
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201501171A publication Critical patent/TW201501171A/zh
Application granted granted Critical
Publication of TWI623959B publication Critical patent/TWI623959B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種用於基板處理系統的噴淋頭組件,包含連接至氣體通道的一背板。一面板係相鄰連接至該背板的第一面,且包含一氣體擴散面。一電極係設置於該背板及該面板之一者中,且連接至一個以上的導體。一氣體充氣部係界定在該背板及該面板之間,且與該氣體通道流體連通,該背板及該面板係由非金屬材料製成。

Description

具有電容耦合電漿反應器用嵌入式射頻電極之陶瓷噴淋頭
本申請案主張在西元2013年2月28日申請之美國專利暫時申請案 第61/770,894號之優先權。以上所參照的申請案之整體揭露內容係併入於此以供參考。
本揭露內容係與基板處理系統相關,且更具體而言,係與用於基板處理系統之噴淋頭相關。
本文中所提供的背景說明係用於概略地呈現所揭露之內容之背景。在此背景章節所述範圍之發明人的作品、以及不另外適格作為申請時先前技術的說明實施態樣,係不明示性或暗示性被承認為針對本揭露內容的先前技術。
基板處理系統一般包含帶有一個以上反應容積之處理腔室。底座一般係設置在反應容積中。將基板(如:半導體晶圓)設置在該底座上。使用噴淋頭將一個以上的製程氣體輸送到反應容積,且電漿可在反應容積中觸發。於該基板上形成一膜(如: 介電層)。
可在電容耦合電漿(CCP)反應器中使用噴淋頭。噴淋頭會在基板上散佈製程氣體,且噴淋頭係用作射頻(RF)電極以驅動電漿。噴淋頭一般係由金屬材料製成。金屬電極實質上會降低或消除噴淋頭之氣體充氣部內的電場,以避免噴淋頭內的電漿形成及氣體的過早活化。
用於CCP反應器的噴淋頭一般係由鋁所製成,且包含焊接於主體的一面板。噴淋頭的面板一般具有複數個間隔的氣孔,以在基板的曝露面上提供均勻的氣體分佈。可對噴淋頭、另一個電極(如:底座)、或以上二者施加RF電壓。
鋁的噴淋頭會和許多製程化學品(或氣體成分)一同良好地運作。然而,鋁並不相容於會從金屬表面溶出元素的製程氣體。具體來說,氯基化學品往往會在超過300℃的操作溫度下溶出鋁。由於含氯氣體在噴淋頭金屬表面上的化學侵蝕,金屬材料最終可能會在基板上所沉積之膜之中。如此情形對基板上的元件製造往往係有害的。例如,在一些例子中,金屬材料為可危及整合裝置運作的摻雜劑。
在處理腔室清洗期間,也可能發生非期望的金屬汙染。因原子氟一般係用於清洗,故面板(噴淋頭的最熱區域)會與氟反應,而形成氟化鋁。當氟化鋁的厚度隨時間增加時,噴淋頭表面特性(如:粗糙度、導電率、和散熱度)會改變。因此,沉積製程可能會偏移,並也會處於微粒汙染的高度風險下。
噴淋頭的設計也不容許當噴淋頭係安裝在處理腔室中時清洗噴淋頭的內部(因噴淋頭係焊接式)。在極端的情況下,面板中之氣孔內的鋁表面氟化可能會改變孔的直徑,及改變氣流的均勻度。
一些金屬材料(如:鋁)在較高溫度(超過400℃)下變得較軟,而噴淋頭的面板可能會開始下垂。如此可造成氣體流動及電漿密度分佈的改變。
用於基板處理系統之噴淋頭組件,包含連接至氣體通道的背板。面板係相鄰連接於背板之第一面,且包含一氣體擴散面。電極係設置在該背板及該面板之一者中,並會連接至一個以上的導體。氣體充氣部係界定在該背板及該面板之間,且係與氣體通道流體連通。該背板及該面板係由非金屬材料所製成。
在其他特徵部中,桿體係連接至該背板,並界定氣體通道。該一個以上的導體穿過該桿體。
在其他特徵部中,面板之氣體擴散面包含有間隔的孔,面板之氣體擴散面包含穿孔,或者,面板之氣體擴散面係多孔的。
在其他特徵部中,將電漿抑制結構設置成鄰近於背板的第二面。背板的第一面係與背板的第二面為相反側。
在其他特徵部中,電漿抑制結構包含N個板,該N個板係以間隔的關係彼此平行設置。N為大於1的整數,及該N個板係由介電材料製成。
在其他特徵部中,電漿抑制結構包含一套環,該套環係從該N個板之一者朝著基板處理系統之處理腔室的上表面延伸。該套環係由介電材料製成。
在其他特徵部中,N係大於2,且該N個板係以均勻方式分間隔。N係大於2,且至少部分的該N個板係以非均勻方式間隔。該N個板之至少一者包含複數個穿孔。該N個板之至少一者包含鋸齒面。桿體、背板、及面板係由陶瓷材料製成。桿體、背板、及面板係由氮化鋁及氧化鋁之至少一者製成。
在其他特徵部中,面板係連接至背板,以允許相對於背板之面板的側向移動並同時維持其間的密封。
在其他特徵部中,將擋板設置在氣體通道及氣體充氣部之間。套環將桿體連接至基板處理腔室的上表面。背板使用固定器連接至面板。氣體充氣部的高度尺寸係小於預期電漿鞘厚度的二倍。電極及背板第一面之間的第一尺寸係小於電極及背板第二面之間的第二尺寸。背板之第一面係與背板之第二面為相反側。電極係盤形的。
在其他特徵部中,基板處理系統包含一處理腔室,該處理腔室包含一反應容積。噴淋頭組件係設置於該反應容積中。底座係設置在反應容積中鄰近面板。
在其他特徵部中,射頻(RF)電路係用於對一個以上的導體供應RF訊號,其具有大於1MHz頻率。控制器係用於控制流至氣體通道的製程氣體,以及控制RF電路的運作。
在其他特徵部中,一個以上的導體包含圍繞氣體通道的圓柱形導體。
本揭露內容之應用的進一步領域將從實施方式、請求項及圖式中變得顯而易見。詳細的實施方式及具體的例示僅以說明為目的,且並無意限制本揭露內容的範疇。
圖1A、1B、2A、及2B顯示根據本揭露內容之噴淋頭10的範例。在圖1A中,噴淋頭10包含:一背板20、一桿體24、及一面板30。在一些範例中,噴淋頭10的背板20、桿體24、及面板30係由非金屬材料(如:陶瓷材料)製成。雖顯示出桿體24,但桿體24係可以省略的,且背板可設置在處理腔室之表面上、鄰近於處理腔室表面、及/或嵌入在處理腔室的表面中(圖1B)。在一些範例中,陶瓷材料包含氮化鋁(AlN)、氧化鋁(Al2 O3 )、或其他合適的陶瓷材料。
在一些例子中,背板20包含一實質的平盤。桿體24係連接至背板20。在一些例子中,桿體24係圓柱狀的,及桿體24之軸係垂直連接於包含背板20的一平面。僅作為例子,可使用擴散接合或硬焊接和方式將桿體24與背板20固定式連接在一起。或者,可使用固定器、公連接器及母連接器或其他方法將桿體24及背板20可拆卸式地連接。
桿體24界定一氣體通道34,該氣體通道軸向延伸貫穿桿體24。氣體流過氣體通道34而到達選用性的擋板38,並進入一氣體充氣部32中,該氣體充氣部係界定在背板20及面板30之間。背板20及面板30之一者或二者可包含一凹陷部36,以界定氣體充氣部32的高度。
面板30界定一氣體擴散面41,該氣體擴散面作為氣體充氣部32及反應容積44之間的氣體擴散器。氣體擴散面41可為穿孔的、多孔的、具有孔洞等。僅作為例子於圖1A中,氣體充氣部32中的製程氣體可流過面板30之間隔開的孔42,而進入反應容積44中。間隔開的孔42以相對均勻的方式散佈製程氣體到底座48上所擺放的基板46的整個曝露面。
射頻(RF)電極50嵌入在背板20(圖1和2A)或面板30(圖2B)內。一個以上的導體或桿54會通過桿體24以及通過部分的背板20。導體54與RF電極50電性接觸。在一些範例中,使用四個導體54,雖可使用更多或更少的導體54。沿著氣體通道34的電場會隨著桿的數量增加而降低。在一些範例中,導體54係圓柱狀的,並圍繞氣體通道34。可將一個以上的導體54連接至RF電壓,或者倘若噴淋頭10係用作接地電極,則可將一個以上的導體54連接至參考電位(如:接地)。
噴淋頭10包含一電漿抑制結構60,將該電漿抑制結構設置在背板20之頂面與處理腔室之頂面之間,以降低或消除經由背板20所驅動之寄生放電。在一些範例中,電漿抑制結構60之製造可根據在西元2011年11月23日申請之共同轉讓美國專利申請案第13/303,386號,其專利名稱為「MECHANICAL SUPPRESSION OF PARASITIC PLASMA IN SUBSTRATE PROCESSING CHAMBER」,且其內容於此藉由參照全部納入作為本案揭示內容的一部分。
桿體24可附接於一套環80。套環80又可附接在處理腔室之頂面。套環80可包含一桿部85及一法蘭部(flange)86,該法蘭部由接近套環80頂部的桿部85徑向向外延伸。套環80可由介電(絕緣)材料製成,並可具有會最小化對地面的電容耦合之尺寸(即:套環可具有一厚度或一高度)。閥90及泵92可用於在反應容積44中製造真空。
面板30係以一種方式附接至背板20,該方式提供氣封且容許由於熱膨脹差異所致之其間相對側向移動。當使用於此處,側向移動指的是平行於包含該面板之一平面的移動。換句話說,將面板30安裝至背板20,使得面板30可以在不會對背板20傳遞應力的情況下熱膨脹,並同時將氣體/蒸氣密封在氣體充氣部32之內。
如同可於圖2中清楚地看到,在一些範例中,使用固定器64將背板20及面板30之邊緣連接起來。在一些範例中,固定器64包含螺絲66,該等螺絲係以墊圈67預載。可轉動螺絲66,一直到施加預定扭力以維持面板30及背板20間的接觸為止。在一些範例中,固定器64可為肩螺絲,及墊圈67可為彈性墊圈。肩螺絲會對彈性墊圈施加預定的壓力,以製造出預定的負載。在一些範例中,可藉由取下固定器64、安裝新的面板、及重新安裝固定器64來更換噴淋頭10的面板30。
在一些範例中,面板30中之間隔開的孔42具有在0.02”到0.06”範圍間的直徑,以避免在間隔開的孔42內點燃電漿。可以不同的型式設置面板30之間隔開的孔42,以最佳化晶圓上之膜的特性間隔開的孔42的數量可在50到6000的範圍中,但可使用更多或更少的孔。對特定的面板而言,間隔開的孔42之直徑可係相同,或者,對特定的面板而言,可使用二種以上的不同尺寸。
在一些範例中,背板20中所嵌入的RF電極50係盤形,且係由具有第一熱膨脹係數(CTE)之金屬所製成,該第一熱膨脹係數係與用於噴淋頭10之材料的第二熱膨脹係數相若。如同可於圖2B中看到,電極50可設置於面板30中。可以理解的,電極50係以容納間隔開的孔42之圖案的方式加以配置。在背板20或面板30中的RF電極50使高頻RF能夠在不會點火(lightup)的情況下通過噴淋頭10的氣體充氣部32。
如同可於圖2A中看到,在一些範例中,將RF電極50盡可能地設置靠近於面向基板之背板20的下表面(圖2A中的尺寸d2 ),以提升電源耦合。在一些範例中,尺寸d1 大於尺寸d2 。導體54係嵌入在桿體24的壁中,以將RF電極50連接至RF電路70。在一些範例中,配置導體54,以最小化沿著氣體會導入通過之通道的電場。面板30可具有任一合適的厚度(尺寸d4 )。
當RF電極50嵌入在背板20中時,驅動放電之電場則必須通過氣體充氣部32以及面板30。在一些範例中,氣體充氣部的高度尺寸(圖2A中的d3 )係小於預期電漿鞘之厚度的二倍。使用此方式確保寄生電漿放電無法持續。在一些範例中,為了避免在氣體充氣部32內持續寄生電漿之條件,且為了最小化橫跨氣體充氣部32的電壓降,尺寸d3 係1/8”以下。
如同可於圖1A中看到,當RF電極50嵌入在背板20內時,可使用電漿抑制結構60進行噴淋頭10之背面或頂面上之寄生放電的抑制。在一些範例中,電漿抑制結構60包含二個以上的間隔開的板100-1、100-2、..、100-N(統稱為板100),其中N係大於1的整數。在一些範例中,N為5,雖可使用更多或更少的板100。在一些範例中,板100係由陶瓷材料或任一其他合適的介電材料製成。將板100間的間距最佳化,以避免板100間的自持放電,且在電漿抑制結構60內降低顯著的電壓,使得寄生放電無法維持在電漿抑制結構60後。可設置一個以上的間隔器102,以界定電漿抑制結構60之板100間的間距。也可將套環110設置在板100之最頂部的一者上方(圖1A範例中之100-5),並圍繞著套環80的桿部85。套環110可由介電材料製成。
控制器120可連接至一個以上的感應器124,感應器124可設置在處理腔室之內及之外。感應器124會感應系統操作狀況,並可包含壓力感應器、溫度感應器、及/或其他感應器。控制器120使用一個以上的質流控制器(MFCs)128及閥130將製程氣體從氣體源126中選擇性地供應至氣體通道34。
在一些範例中,RF電極50係連接至提供高頻激發(high frequency excitation)的RF電路70。在一些範例中,高頻激發係大於等於1MHz。陶瓷材料及氣體充氣部32的堆疊層製造出會增加放電阻抗的電容結構。當激發頻率增加時,阻抗降低。為提供噴淋頭10的有效率的操作,大部分輸出功率應消耗於基板上方的放電。在噴淋頭10後邊的電漿被視為寄生。為了在基板上最大化功率消耗(power dissipation),通過面板30的阻抗係小於通過背板20的阻抗(不然背面的電漿可能會消耗顯著比例的輸出功率)。當噴淋頭10包含嵌入在背板20中的RF電極50時,更多的功率則傾向於經由背板20耦合。如此的現象可使用電漿抑制結構60降低。
功率耦合可以阻抗模型分析。對發生在面板前面(即在晶圓的上方)的電漿放電而言,阻抗估算為:, 其中Ci 係層i的電容;A係面積;f係頻率;di 係層i的厚度;e0 係電容率;e2 = e4 = 9,其為AlN 或 Al2 O3 的介電常數;及e3 = 1,其為真空的介電常數。
因為AlN 及 Al2 O3 的介電常數係約9,故板較相似厚度的真空空隙造成更少的阻抗。對發生在噴淋頭背面上方的電漿放電(寄生電漿)而言,在不具有電漿抑制結構60情況下的阻抗估算為。對不帶有電漿抑制結構60的噴淋頭而言,由於氣體充氣部之高阻抗(=1)造成Zface > Zback 。為了抵銷,將帶有大阻抗Zsupp 的電漿抑制結構60安裝在背板20上,以確保Zface << Zback + Zsupp
電漿抑制結構60的阻抗主要係取決於板100之間的真空空隙。然而,倘若空隙過寬,電容寄生放電則可能會發生在板100之間。
以上所應用之阻抗模型係假設平行板電容器(parallel plate capacitors)及均勻的電場。實際上,會發生邊緣電場(fringe field),如此情形會改變上述的結果,但預期此效果係小的。緊鄰在RF電極50的邊緣電場係最高的。因此,第一空隙(其在背板20及電漿抑制結構60之第一板100-1之間)係最容易點燃寄生放電。
在一些範例中,板間的空隙係均勻的。在其他範例中,板間的空隙係非均勻的。僅作為範例,於圖3中,部分或全部的板間空隙200-1、200-2、200-3、200-4及200-5(統稱為板間空隙200)可隨著從背板20過來之距離增加。僅作為範例,板間空隙200可如以下40-60-100-150-200(以mil為單位)來增加,雖也可使用其他值。可以理解的,可使用例如一個以上的栓塞(圖未顯示)將板100之終端封閉起來。
憑藉空隙寬度及電漿鞘厚度間的關係來避免於電漿抑制結構60之板100間的板間寄生放電。譬如於圖4中,針對非期望點燃所改良的保護作用可藉由板220-1、220-2、220-3、及220-4(統稱為板220)達成,板220包含一個以上的鋸齒面或齒狀面230。雖然對於每個板220僅顯示一個齒狀面230,但每個板220的二個表面皆可為齒狀面。高表面積提供更多的離子及電子的重組位,如此增加它們的損失率並使自持寄生放電較不容易發生。可以理解的,可使用例如一個以上的栓塞(圖未顯示)將板220之終端封閉起來。
例如於圖5中,針對非期望點燃所改良的保護作用可藉由板250-1、250-2、250-3(統稱為板250)達成,板250之每一者包含一個以上的穿孔260。高表面積提供更多的離子及電子的重組位,如此會增加它們的損失率並使自持寄生放電較不容易發生。可以理解的,可使用例如一個以上的栓塞(圖未顯示)將板250之終端封閉起來。
可以理解的,用於特定基板處理腔室的電漿抑制結構60可包含以上所述特徵部的變化,如:均勻及不均勻的間隔、一個以上的齒狀表面、及/或穿孔。
前述說明本質上僅為說明性且無意限制本揭露內容、其應用、或使用。本揭露內容的廣泛的教示可以各種形式實施。因此,儘管本揭露內容包含特定例示,本揭露內容的真實範疇不應如此限制,這是由於其他修改在研讀圖式、說明書、及下列請求項時將變得顯而易見。當使用於此處,用語︰「A、B、及C之至少一者」應被理解成意為使用非互斥邏輯「或(OR)」之邏輯(A或B或C)。應理解在一方法內的一或更多步驟可在不改變本揭露內容的原理的情況下以不同的順序(或同時地)執行。
在本應用中,包含以下的定義,可以用語「電路」取代用語「控制器」。用語「控制器」可意指以下元件、該元件的部分、或包含該元件:特殊應用積體電路(ASIC);數位、類比、或混和的數位/類比之離散電路;數位、類比、或混和的數位/類比之積體電路;組合邏輯電路;場式可程式閘極陣列(FPGA);執行程式碼的(共享、專用、或群組的)處理器;儲存以處理器執行的程式碼的(共享、專用、或群組的)記憶體;提供所述功能的其他合適的硬體構件;或如在系統晶片中之以上一些或所有者的組合。
用語「程式碼」如上所述可包含軟體、韌體、及/或微程式碼,且可表示程式、常式、函數、類別、及/或物件。用語「共享處理器」包含一單一處理器,該單一處理器執行來自多個控制器之一些或所有的程式碼。用語「群組處理器」包含與額外處理器組合的一處理器,該處理器執行來自一個以上的控制器之一些或所有的程式碼。用語「共享記憶體」包含一單一記憶體,該單一記憶體儲存來自多個控制器的一些或所有程式碼。用語「群組記憶體」包含與額外記憶體組合的一記憶體,該記憶體儲存來自一個以上的控制器之一些或所有的程式碼。用語「記憶體」可為用語「電腦可讀媒體」的子集合。用語電腦可讀媒體並不包含傳播通過一媒體的過渡性電子及電磁訊號,且因此視為實體且非過渡性的。非過渡性實體電腦可讀媒體的非限制性例子包含非揮發性記憶體、揮發性記憶體、磁性儲存器、及光學儲存器。
此處所述的裝置及方法可由藉著一或更多處理器執行的一或更多電腦程式加以部份地或完全地實施。電腦程式包含儲存在至少一個非暫時性實體電腦可讀媒體上之處理器可執行的命令。該電腦程式亦可包含及/或依賴所儲存的資料。
10‧‧‧噴淋頭
20‧‧‧背板
24‧‧‧桿體
30‧‧‧面板
32‧‧‧充氣部
34‧‧‧氣體通道
36‧‧‧凹陷部
38‧‧‧擋板
41‧‧‧氣體擴散面
42‧‧‧孔
44‧‧‧反應容積
46‧‧‧基板
48‧‧‧底座
50‧‧‧電極
54‧‧‧桿/導體
60‧‧‧電漿抑制結構
64‧‧‧固定器
66‧‧‧螺絲
67‧‧‧墊圈
70‧‧‧電路
80‧‧‧套環
85‧‧‧桿部
86‧‧‧法蘭部
90‧‧‧閥
92‧‧‧泵
100‧‧‧板
100-1‧‧‧板
100-2‧‧‧板
100-3‧‧‧板
100-4‧‧‧板
100-5‧‧‧板
100-N‧‧‧板
102‧‧‧間隔器
110‧‧‧套環
120‧‧‧控制器
124‧‧‧感應器
126‧‧‧氣體源
128‧‧‧質流控制器
130‧‧‧閥
200‧‧‧板間空隙
200-1‧‧‧板間空隙
200-2‧‧‧板間空隙
200-3‧‧‧板間空隙
200-4‧‧‧板間空隙
200-5‧‧‧板間空隙
220‧‧‧板
220-1‧‧‧板
220-2‧‧‧板
220-3‧‧‧板
220-4‧‧‧板
230‧‧‧鋸齒/齒狀面
250‧‧‧板
250-1‧‧‧板
250-2‧‧‧板
250-3‧‧‧板
260‧‧‧穿孔
本揭露內容將從詳細的描述以及附圖而變得更好理解,其中;
圖1A和1B係根據本揭露內容之基板處理系統範例的功能方塊圖及簡化的橫剖面圖;
圖2A和2B係根據本揭露內容之一部分的範例噴淋頭的局部橫剖面圖;
圖3顯示板和電漿抑制結構之板間的不均勻間隔之範例;
圖4顯示電漿抑制結構之板上的齒狀表面之範例;及
圖5顯示電漿抑制結構之多孔板的範例。
在這些圖式中,參考符號可重複使用,以識別相似及/或相同的元件。
10‧‧‧噴淋頭
20‧‧‧背板
24‧‧‧桿體
30‧‧‧面板
32‧‧‧充氣部
34‧‧‧氣體通道
36‧‧‧凹陷部
38‧‧‧擋板
41‧‧‧氣體擴散面
42‧‧‧孔
44‧‧‧反應容積
46‧‧‧基板
48‧‧‧底座
50‧‧‧電極
54‧‧‧桿/導體
60‧‧‧電漿抑制結構
64‧‧‧固定器
70‧‧‧電路
80‧‧‧套環
85‧‧‧桿部
86‧‧‧法蘭部
90‧‧‧閥
92‧‧‧泵
100‧‧‧板
100-1/100-2...100-N‧‧‧板
102‧‧‧間隔器
110‧‧‧套環
120‧‧‧控制器
124‧‧‧感應器
126‧‧‧氣體源
128‧‧‧質流控制器
130‧‧‧閥

Claims (22)

  1. 一種噴淋頭組件,用於基板處理系統,該噴淋頭組件包含: 一背板,連接至一氣體通道; 一面板,相鄰連接至該背板的一第一面,及包含一氣體擴散面; 一個以上的導體;及 一電極,設置於該背板及該面板其中一者中,及連接至該一個以上的導體; 其中一氣體充氣部係界定在該背板及該面板之間,且係與該氣體通道流體連通;及 其中該背板及該面板係由非金屬材料製成。
  2. 如申請專利範圍第1項之噴淋頭組件,更包含: 一桿體,連接至該背板,及界定該氣體通道; 其中該桿體係由非金屬材料製成;及 其中該一個以上的導體穿過該桿體。
  3. 如申請專利範圍第1項之噴淋頭組件,其中: 該面板之該氣體擴散面包含複數個間隔開的孔; 該面板之該氣體擴散面包含複數個穿孔;或 該面板之該氣體擴散面係多孔的。
  4. 如申請專利範圍第2項之噴淋頭組件,更包含一電漿抑制結構,該電漿抑制結構係設置成鄰近於該背板之一第二面,其中該背板之該第一面係與該背板之該第二面為相反側。
  5. 如申請專利範圍第4項之噴淋頭組件,其中該電漿抑制結構包含N個板,該N個板係以間隔的關係彼此平行設置,其中N係大於1的整數,其中該N個板係由介電材料製成。
  6. 如申請專利範圍第5項之噴淋頭組件,其中該電漿抑制結構包含一套環,該套環係從該N個板之一者朝著該基板處理系統之一處理腔室的一上表面延伸,及其中該套環係由介電材料製成。
  7. 如申請專利範圍第5項之噴淋頭組件,其中N大於2,及該N個板係以均勻的方式間隔。
  8. 如申請專利範圍第5項之噴淋頭組件,其中N大於2,及至少部分的該N個板係以非均勻的方式間隔。
  9. 如申請專利範圍第5項之噴淋頭組件,其中該N個板的至少一者包含複數個穿孔。
  10. 如申請專利範圍第5項之噴淋頭組件,其中該N個板的至少一者包含一鋸齒面。
  11. 如申請專利範圍第2項之噴淋頭組件,其中該桿體,該背板,及該面板係由陶瓷材料製成。
  12. 如申請專利範圍第2項之噴淋頭組件,其中該桿體,該背板,及該面板係由氮化鋁及氧化鋁之至少一者製成。
  13. 如申請專利範圍第1項之噴淋頭組件,更包含一擋板,該擋板係設置於該氣體通道及該氣體充氣部之間。
  14. 如申請專利範圍第2項之噴淋頭組件,更包含一套環,該套環將該桿體連接至一基板處理腔室的一上表面。
  15. 如申請專利範圍第1項之噴淋頭組件,其中該面板係連接至該背板,以允許相對於該背板之該面板的側向移動且同時維持其間的密封。
  16. 如申請專利範圍第1項之噴淋頭組件,其中該氣體充氣部的高度尺寸係小於預期電漿鞘厚度的2倍。
  17. 如申請專利範圍第1項之噴淋頭組件,其中該電極及該背板的該第一面之間的一第一尺寸係小於該電極及該背板的一第二面之間的一第二尺寸,其中該背板之該第一面係與該背板之該第二面為相反側。
  18. 如申請專利範圍第1項之噴淋頭組件,其中該電極係盤形。
  19. 如申請專利範圍第2項之噴淋頭組件,其中該一個以上的導體包含圍繞該氣體通道的圓柱形導體。
  20. 一種基板處理系統,包含: 一處理腔室,包含一反應容積; 申請專利範圍第1項的噴淋頭組件,設置於該反應容積中;及 一底座,設置該反應容積中鄰近該面板。
  21. 如申請專利範圍第19項之基板處理系統,更包含: 一射頻(RF)電路,用於對該一個以上的導體供應RF訊號,其具有大於1MHz的頻率;及 一控制器,用於控制流至該氣體通道的製程氣體,及用於控制該RF電路的運作。
  22. 如申請專利範圍第21項之基板處理系統,其中該背板係直接地連接至該處理腔室之壁。
TW103106826A 2013-02-28 2014-02-27 具有電容耦合電漿反應器用嵌入式射頻電極之陶瓷噴淋頭及使用該陶瓷噴淋頭之基板處理系統 TWI623959B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361770894P 2013-02-28 2013-02-28
US61/770,894 2013-02-28
US13/858,477 US9449795B2 (en) 2013-02-28 2013-04-08 Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US13/858,477 2013-04-08

Publications (2)

Publication Number Publication Date
TW201501171A true TW201501171A (zh) 2015-01-01
TWI623959B TWI623959B (zh) 2018-05-11

Family

ID=51386937

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103106826A TWI623959B (zh) 2013-02-28 2014-02-27 具有電容耦合電漿反應器用嵌入式射頻電極之陶瓷噴淋頭及使用該陶瓷噴淋頭之基板處理系統

Country Status (6)

Country Link
US (1) US9449795B2 (zh)
JP (1) JP6552155B2 (zh)
KR (4) KR102218724B1 (zh)
CN (1) CN104022008B (zh)
SG (1) SG2014006449A (zh)
TW (1) TWI623959B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI661462B (zh) * 2014-07-31 2019-06-01 日商東京威力科創股份有限公司 Plasma processing device and gas supply member
TWI677003B (zh) * 2016-12-30 2019-11-11 大陸商中微半導體設備(上海)股份有限公司 螺絲組件及其應用的射頻處理裝置
TWI709361B (zh) * 2018-12-29 2020-11-01 大陸商中微半導體設備(上海)股份有限公司 電漿處理裝置中氣體噴淋頭的接地連接結構、電漿處理裝置及導電連接結構

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10217614B2 (en) 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6641968B2 (ja) * 2015-12-16 2020-02-05 コニカミノルタ株式会社 撮像レンズ,撮像光学装置及びデジタル機器
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN108538694B (zh) * 2017-03-02 2020-04-28 北京北方华创微电子装备有限公司 一种腔室和等离子体处理装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
WO2018190218A1 (ja) * 2017-04-14 2018-10-18 住友電気工業株式会社 シャワーヘッド
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
TWI794240B (zh) * 2017-06-22 2023-03-01 美商應用材料股份有限公司 用於電漿處理的處理工具及電漿反應器
US11355321B2 (en) 2017-06-22 2022-06-07 Applied Materials, Inc. Plasma reactor with electrode assembly for moving substrate
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2022523541A (ja) 2019-03-08 2022-04-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバ用の多孔性シャワーヘッド
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
JP2022540607A (ja) 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TW202125573A (zh) 2019-11-16 2021-07-01 美商應用材料股份有限公司 具有嵌入式螺帽的噴淋頭
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US12016092B2 (en) 2019-12-05 2024-06-18 Applied Materials, Inc. Gas distribution ceramic heater for deposition chamber
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN113136565A (zh) 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 形成薄膜的方法和改性薄膜的表面的方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US12011731B2 (en) 2020-07-10 2024-06-18 Applied Materials, Inc. Faceplate tensioning method and apparatus to prevent droop
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
JPWO2022123674A1 (zh) * 2020-12-09 2022-06-16
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62125624A (ja) * 1985-11-27 1987-06-06 Hitachi Ltd プラズマ処理装置
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JPH06232053A (ja) * 1993-02-04 1994-08-19 Ulvac Japan Ltd 真空処理装置
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
JPH0849080A (ja) * 1994-08-08 1996-02-20 Kokusai Electric Co Ltd プラズマcvd装置に於けるガスクリーニング方法
JPH0851082A (ja) * 1994-08-08 1996-02-20 Kokusai Electric Co Ltd 半導体製造装置のサセプタ
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5637146A (en) * 1995-03-30 1997-06-10 Saturn Cosmos Co., Ltd. Method for the growth of nitride based semiconductors and its apparatus
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6051100A (en) 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
JPH11354504A (ja) * 1998-06-08 1999-12-24 Sony Corp ガラス基板処理装置
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP3725430B2 (ja) * 1999-04-06 2005-12-14 東京エレクトロン株式会社 電極およびプラズマ処理装置
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
JP3384795B2 (ja) * 1999-05-26 2003-03-10 忠弘 大見 プラズマプロセス装置
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6494958B1 (en) 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
KR100922241B1 (ko) * 2001-02-09 2009-10-15 도쿄엘렉트론가부시키가이샤 성막 장치 및 샤워헤드 구조체
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
US6902620B1 (en) 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6989265B2 (en) 2002-01-23 2006-01-24 Wisconsin Alumni Research Foundation Bacteria with reduced genome
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
EP1512164B1 (en) * 2002-05-23 2016-01-06 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
US6827789B2 (en) 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
JP3714924B2 (ja) 2002-07-11 2005-11-09 東京エレクトロン株式会社 プラズマ処理装置
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
KR100509231B1 (ko) * 2003-01-03 2005-08-22 주식회사 아이피에스 박막증착용 반응용기
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7982195B2 (en) 2004-09-14 2011-07-19 Axcelis Technologies, Inc. Controlled dose ion implantation
CN100358097C (zh) 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
JP4753306B2 (ja) * 2006-03-29 2011-08-24 東京エレクトロン株式会社 プラズマ処理装置
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20070266945A1 (en) * 2006-05-16 2007-11-22 Asm Japan K.K. Plasma cvd apparatus equipped with plasma blocking insulation plate
KR100831198B1 (ko) * 2006-05-19 2008-05-21 주식회사 아이피에스 웰딩형 샤워헤드
KR100845896B1 (ko) 2006-06-29 2008-07-16 주식회사 뉴파워 프라즈마 정전척과 피처리 기판 사이의 아킹을 방지하기 위한플라즈마 처리 시스템 및 방법
KR20080027009A (ko) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
KR20080061811A (ko) * 2006-12-28 2008-07-03 주식회사 케이씨텍 기판 표면처리장치
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US8733279B2 (en) * 2007-02-27 2014-05-27 Applied Materials, Inc. PECVD process chamber backing plate reinforcement
US8128750B2 (en) * 2007-03-29 2012-03-06 Lam Research Corporation Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP5038769B2 (ja) * 2007-04-27 2012-10-03 株式会社アルバック プラズマ処理装置
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
TWI508129B (zh) * 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR101161407B1 (ko) 2007-12-26 2012-07-09 삼성엘이디 주식회사 화학기상 증착장치
US8298338B2 (en) 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20100000684A1 (en) 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
KR20100004857A (ko) 2008-07-03 2010-01-13 주성엔지니어링(주) 건식 에칭 장치
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
JP5643528B2 (ja) * 2009-03-30 2014-12-17 東京エレクトロン株式会社 基板処理装置
JP2010263049A (ja) * 2009-05-01 2010-11-18 Ulvac Japan Ltd ドライエッチング装置
WO2011052463A1 (ja) * 2009-11-02 2011-05-05 東レ株式会社 プラズマcvd装置、および、シリコン薄膜の製造方法
CN102763199B (zh) * 2010-02-12 2016-01-20 应用材料公司 处理腔室之气流改良
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP5700632B2 (ja) * 2010-11-04 2015-04-15 東京エレクトロン株式会社 プラズマ処理装置
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20120225207A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
KR101937115B1 (ko) * 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US20140165911A1 (en) * 2012-12-14 2014-06-19 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI661462B (zh) * 2014-07-31 2019-06-01 日商東京威力科創股份有限公司 Plasma processing device and gas supply member
TWI677003B (zh) * 2016-12-30 2019-11-11 大陸商中微半導體設備(上海)股份有限公司 螺絲組件及其應用的射頻處理裝置
TWI709361B (zh) * 2018-12-29 2020-11-01 大陸商中微半導體設備(上海)股份有限公司 電漿處理裝置中氣體噴淋頭的接地連接結構、電漿處理裝置及導電連接結構

Also Published As

Publication number Publication date
TWI623959B (zh) 2018-05-11
JP6552155B2 (ja) 2019-07-31
SG2014006449A (en) 2014-09-26
KR20210023915A (ko) 2021-03-04
CN104022008B (zh) 2017-08-29
KR102218724B1 (ko) 2021-02-22
KR20220084000A (ko) 2022-06-21
KR102409331B1 (ko) 2022-06-14
US20140238608A1 (en) 2014-08-28
KR102662453B1 (ko) 2024-04-30
KR102562923B1 (ko) 2023-08-03
JP2014170742A (ja) 2014-09-18
KR20230079333A (ko) 2023-06-07
CN104022008A (zh) 2014-09-03
US9449795B2 (en) 2016-09-20
KR20140108178A (ko) 2014-09-05

Similar Documents

Publication Publication Date Title
TW201501171A (zh) 具有電容耦合電漿反應器用嵌入式射頻電極之陶瓷噴淋頭
KR102594473B1 (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
US10625277B2 (en) Showerhead having a detachable gas distribution plate
KR20210013634A (ko) 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
TWI375735B (en) Methods and apparatus for tuning a set of plasma processing steps
US7988814B2 (en) Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
TWI614789B (zh) 具有腔室壁溫度控制的電漿反應器
JP2021119625A (ja) ミドルリング
US20090294064A1 (en) Focus ring and plasma processing apparatus
US20070227663A1 (en) Substrate processing apparatus and side wall component
JP2014053309A (ja) プラズマチャンバ内の調整可能接地面
JP2018014492A (ja) アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック
KR20150024277A (ko) 반도체 장치의 제조 방법
JP2017166065A (ja) 高純度でsp3結合を含む化学気相成長(CVD)ダイヤモンドコーティングを有するエッジリングのようなプラズマ処理システム用構成部材
US20110086184A1 (en) Method of depositing metallic film and memory medium
JP2009239014A (ja) 電極構造及び基板処理装置
TW201643270A (zh) 電漿原子層成長裝置
KR20100009831U (ko) 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
JP7209515B2 (ja) 基板保持機構および成膜装置
TW202249540A (zh) 脈衝dc電漿腔室中的電漿均勻性控制
TWI823977B (zh) 預防半導體基板處理中基座上的沉積
JP2007266296A (ja) 基板処理装置及び側壁部品
KR20030044199A (ko) 고주파 인가형 반도체 장치 제조 장비 및 이를 이용한공정 챔버 세정 방법