JP2014053309A - プラズマチャンバ内の調整可能接地面 - Google Patents

プラズマチャンバ内の調整可能接地面 Download PDF

Info

Publication number
JP2014053309A
JP2014053309A JP2013187350A JP2013187350A JP2014053309A JP 2014053309 A JP2014053309 A JP 2014053309A JP 2013187350 A JP2013187350 A JP 2013187350A JP 2013187350 A JP2013187350 A JP 2013187350A JP 2014053309 A JP2014053309 A JP 2014053309A
Authority
JP
Japan
Prior art keywords
electrode
substrate
plasma
substrate support
electrodes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013187350A
Other languages
English (en)
Inventor
Karthik Janakiraman
カーティック ジャナキラマン,
Nowak Thomas
トーマス ノワック,
Carlos Rocha-Alvarez Juan
フアン カルロス ロチャ−アルヴァレス,
A Fodor Mark
マーク エー. フォードー,
R Du Bois Dale
デール アール. デュボワ,
Bansal Amit
アミット バンサル,
Mohamad Ayoub
モハマド アユブ,
Eller Y Juco
エラー ワイ. ジュコ,
Visweswaren Sivaramakrishnan
ヴィスウェスウォレン シヴァラマクリシュナン,
M Saad Hichem
ハイチェム マサード,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014053309A publication Critical patent/JP2014053309A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】プラズマチャンバ内のプラズマの空間分布の制御を向上する装置および方法を提供する。
【解決手段】径方向成分および軸方向成分を有する電界をチャンバ内部に得るために、成形された電極123が基板サポート128内に埋め込まれる。また、シャワーヘッドアセンブリ142のフェースプレート電極146がアイソレータによって複数のゾーンに分割され、それによって、それぞれ異なる電圧が異なるゾーンに印加されることが可能になる。加えて、1つまたは複数の電極をチャンバ側壁内に埋め込むこともできる。
【選択図】図2A

Description

本発明の諸実施形態は一般に、基板上で材料を堆積または除去する装置および方法に関する。より詳細には、本発明の諸実施形態は、プラズマチャンバ内のプラズマ放電の強度および/または分布を制御する装置および方法に関する。
プラズマ促進化学気相成長(PECVD)法、高密度プラズマ化学気相成長(HDPCVD)法、プラズマ浸漬イオン注入法、およびプラズマエッチング法な どのプラズマ促進工法は、構造体を形成するために基板上に材料を堆積し、および/または、基板から材料を除去する際に使用される一般的な工法となってい る。
プラズマは、半導体デバイスを製造する上での多くの利点をもたらす。例えば、プラズマを使用すると、処理温度低下、高いアスペクト比のギャップのギャップ充填促進、および、より大きい堆積速度による広範囲の応用が可能になる。
従来のプラズマ処理システムに関して存在する課題は、均一なエッチングおよび堆積を得るためのプラズマの制御である。エッチング速度および堆積の均一性 に関する主要な要因は、処理中のプラズマの空間分布である。例えば、一般に平行板リアクタであるこれまでのPECVDチャンバでは、プラズマの空間分布に 影響を及ぼす従来の要因は、他にも要因はあるが、チャンバ圧力、電極間距離、および化学作用である。PECVDチャンバ内のプラズマ分布の従来の制御でも 満足な結果を生み出すが、その工程は改善することができる。プラズマ処理において残っている1つの課題は、基板上に薄膜を形成するための導電体材料、誘電 体材料または半導体材料などのバルク材料の堆積が均一でない、すなわち平坦でないことである。
図1A(従来技術)は、少なくとも一部には、従来のプラズマチャンバ内での不均一性によって引き起こされる1つの課題を示す、基板1の断面図である。基 板1は、その中に形成されたトレンチ、ビアなどでありうる複数の構造物5を含む。その上に従来のプラズマ工法によって形成された導電体、誘電体または半導 体の材料の層10は、ほぼ基板1を覆い、構造物5を充填する。基板1は寸法Dを有し、この寸法は、長方形の基板の場合には長さまたは幅になり、円形の基板の場合には外径になりうる。この例では、基板1は円形基板であり、寸法Dは、約300mmまたは200mmに等しくなりうる外径である。
上述のように、層10は基板1をほぼ覆うが、実際には寸法Dのところで終わり、上に材料がほとんどまたは全くない基板1の周縁部が残る。一例では、寸法Dが300mmの場合、寸法Dは 約298mmになることがあり、この寸法では、基板1の周縁部を取り囲む、上に材料がほとんどまたは全くない約1mmの部分が生じ、そのため、基板1の周 縁部が実際上使用できないので、基板1のデバイス歩留まりが低下する。このような欠陥は、縁部効果またはプラズマ縁部効果と呼ばれることがある。
図1B(従来技術)は、図1Aの基板1の分解断面図であり、基板1の周縁部上の表面区域20を示し、少なくとも一部には従来のプラズマチャンバ内での不 均一性によって引き起こされる別の課題を例示する。縁部領域25は、上記のデバイス歩留まり低下により被覆されないで示されている。加えて、従来のプラズ マ工法では、基板の周縁部に沿って、材料の過剰な堆積および積み重なりが発生する区域になりうる領域15を生み出すことがある。後続の工程で、基板1は、 化学的機械的研磨(CMP)処理、あるいは他の平坦化処理または研磨処理にかけて層10の一部分を除去することができる。この後続の工程では、領域15 は、層10とともに除去されなければならないので、課題を生じることがある。領域15は、層10の表面区域20の上に数百オングストローム(Å)から数千 Åの間の高さDを含むことがあるので、後続の工程でスループットに悪影響が及ぶおそれがある。加えて、領域15の除去により表面区域20の過剰研磨を引き起こすことがあり、その結果、基板1上に形成されるデバイスまたは構造物に損傷が生じるおそれもある。
したがって、上記の課題に対処するために、プラズマチャンバ内のプラズマの空間分布の制御を向上する装置および方法が必要とされている。
本明細書に記載の諸実施形態では一般に、二次接地面を使用してプラズマチャンバ内のプラズマの空間分布を制御する方法および装置が提供される。
一実施形態では、基板サポートと、基板サポートに結合された1つまたは複数の電極と、基板サポートに対向するフェースプレートを有するシャワーヘッドア センブリと、基板サポートから離れて径方向に間隔をおいて置かれた1つまたは複数の接地要素とを備える、基板を処理する装置が提供され、基板サポートと フェースプレートが協働的に処理容積を画定し、1つまたは複数の電極が、軸方向成分および径方向成分を有する調整可能な電界を処理容積内部に発生させるよ うに適合される。
別の実施形態では、処理チャンバ内で基板を支持する装置が提供され、この装置は、サポート面と、サポート面内に配置された熱制御要素と、第1の面を画定 する第1の部分および傾斜面を画定する第2の部分を有し、傾斜面が第1の面と交差する、サポート面内に配置された電極と、電極に結合された調整器とを備え る。
別の実施形態では、容量結合プラズマの空間分布を制御する方法が提供され、この方法は、第1の電極を処理チャンバ内部に置くステップと、処理チャンバ内 部に第1の接地面を置き、第1の電極と向かい合わせて処理容積を画定するステップと、RF電力を第1の電極に印加し直流電力を第1の接地面に印加すること によって、軸方向成分および径方向成分を有する電界を処理容積内部に発生させるステップとを含む。
上に列挙した本発明の特徴が詳細に理解できるように、上で簡潔に要約した本発明のより詳細な説明を、一部が添付の図面で例示されている諸実施形態を参照 して行うことができる。しかし、添付の図面は、本発明の典型的な諸実施形態を例示するにすぎず、したがって、本発明で他の同様に効果的な実施形態を認める ことができるので、本発明の範囲を限定すると考えられるべきではないことに注意されたい。
(従来技術)従来技術の工法により加工された基板の断面図である。 (従来技術)図1Aの基板の詳細図である。 本発明の一実施形態によるプラズマ処理チャンバの概略断面図である。 図2Aのプラズマ処理チャンバの概略側面図である。 本発明によるプラズマ処理チャンバの別の実施形態の概略側面図である。 本発明によるプラズマ処理チャンバの別の実施形態の概略側面図である。 本発明によるプラズマ処理チャンバの別の実施形態の概略側面図である。 本発明によるプラズマ処理チャンバの別の実施形態の概略側面図である。
理解を容易にするために、各図に共通の同じ要素を明示するのに、可能なところはどこでも同じ参照数字を使用した。1つの実施形態で開示された要素は、具体的な列挙なしに他の実施形態でも有利に利用できることも企図されている。
本発明は一般に、平行電極を伴ったプラズマ発生器を有するプラズマリアクタ内での基板の処理中にプラズマの空間分布を制御する方法および装置を提供する。
図2Aは、プラズマ促進化学気相成長(PECVD)システム100の一実施形態の概略断面図である。PECVDシステム100は一般に、チャンバ蓋 104を支持するチャンバ本体102を備え、チャンバ蓋104は、ねじ、ボルト、ヒンジなどの1つまたは複数の留め具によってチャンバ本体102に取り付 けることができる。チャンバ本体102は、基板サポート128とシャワーヘッドアセンブリ142の間にプラズマ103を封じ込めるための処理容積120を 画定するチャンバ側壁112および底壁116を備える。他にも機能があるが、ガスの送出および排出、移送の機能などの処理制御を行うために、コントローラ 175がシステム100に結合される。
チャンバ蓋104は、シャワーヘッドアセンブリ142を介して処理容積120の中に反応ガスおよびクリーニングガスを送り出すガス配給システム108に 結合される。シャワーヘッドアセンブリ142は、1つまたは複数のガス入口168、163および169から処理容積120の中にガスを送り出すガス入口通 路140を含む。遠隔のプラズマ源(図示せず)を処理容積120とガス入口168、163および169との間に結合することができる。PECVDシステム 100はまた、液体送出源150と、キャリアガスおよび/または前駆体ガスが得られるように構成されたガス源172とを含むこともできる。側壁112の中 に形成され、ポンプシステム164に結合された外周ポンプチャネル125は、処理容積120からガスを排出して処理容積120内部の圧力を制御するように 構成される。好ましくはセラミックなどでできているチャンバライナ127を処理容積120の中に配置して、腐食性の処理環境から側壁112を保護すること ができる。チャンバライナ127上に複数の排出ポート131を形成して、処理容積120をポンプチャネル125に結合することができる。
ベースプレート148によりチャンバ蓋104と、ガス配給システム108と、シャワーヘッドアセンブリ142とを一体化する。ベースプレート148を動 作中に冷却するために、ベースプレート148内に冷却チャネル147が形成される。冷却入口145が、冷却チャネル147の中に水などの冷媒流体を送り出 す。冷媒流体は、冷却チャネル147を出て冷媒出口149を通り抜ける。
基板サポート128は、処理中に基板121を支持して保持するように構成される。基板サポート128は、処理容積120内部で垂直に移動するように適合 され、加えて、ステム122に結合された駆動システムによって回転するように構成することもできる。処理容積120へ基板を出し入れする移送を容易にする ために、リフトピン161を基板サポート128に含むことができる。一実施形態では、基板サポート128は少なくとも1つの電極123を含み、電極123 には、その上に基板121を静電気的に固定するための電圧が印加される。電極123は、電極123に結合された直流(DC)電源176から電力供給され る。基板サポート128は単極DCチャックとして描かれているが、本明細書に記載の諸実施形態は、プラズマチャンバ内で接地面として機能するように適合さ れたどんな基板サポートにも用いることができ、加えて、双極チャック、三極チャック、DCチャック、インターディジテイテッドチャック、ゾーンチャックな どであってもよい。
基板サポート128は、その上に置かれた基板121を所望の処理温度まで加熱するための、例えば抵抗加熱要素である加熱要素126を備えることができ る。加熱要素126は、約208ボルトなどの電圧を加熱要素126に与えるように構成された交流(AC)電力供給部(図示せず)に結合することができる。
無線周波(RF)電源165が、インピーダンス整合回路173を介してシャワーヘッドアセンブリ142に結合される。シャワーヘッドアセンブリ142の フェースプレート146と、コンデンサ190などの電子フィルタを介して接地できる電極123とで容量性プラズマ発生器を形成する。RF源165は、シャ ワーヘッドアセンブリ142にRFエネルギーを供給して、シャワーヘッドアセンブリ142のフェースプレート146と基板サポート128の間の容量性プラ ズマの発生を促進する。こうして電極123は、RF源165用の接地経路、およびDC電源176からの電気的バイアスの両方を供給して、基板121の静電 気的クランピングを可能にする。
基板サポート128は一般に、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、二酸化シリコン(SiO) などのセラミック材料、または他のセラミック材料でできている本体を備える。一実施形態では、基板サポート128の本体は、約−20℃から約700℃の範 囲の温度で使えるように構成される。電極123は、RFメッシュなどのメッシュ、あるいは、モリブデン(Mo)、タングステン(W)でできている材料から なる穴あきシート、または、基板サポート128の本体を形作るセラミック材料と膨張係数がほぼ同じような他の材料からなる穴あきシートとすることができ る。基板サポート128に埋め込まれた電極123は、シャワーヘッドアセンブリ142のフェースプレート146と共に、協働的に処理容積120を画定す る。
RF源165は、例えば13.56MHzのRF発生器である高周波無線周波(HFRF)電源と、例えば300kHzのRF発生器である低周波無線周波 (LFRF)電源とを備えることができる。LFRF電源は、低周波発生要素と固定整合要素の両方を設ける。HFRF電源は、固定整合と共に使用するように 設計され、負荷へ送出される電力を調整し、それによって前方電力および反射電力に関する問題をなくす。
電極123は、導電部材180に結合される。導電部材180は棒、管、線などとすることができ、モリブデン(Mo)、タングステン(W)などの導電材 料、または、基板サポート128の本体を形作る他の材料とほぼ同じような膨張係数を有する他の材料で作ることができる。電極123は、RF電力の帰路とし て、また基板の静電気チャッキングを可能にするバイアス電極として機能する。基板121に電気的バイアスを供給するために、電極123は、バイアス電圧を 電極123に与える電力供給システム182と連通している。電力供給システム182は、DC信号を電極123に供給するためのDC電源176と、DC電源 176と電極123の間の、電圧変動をフィルタリングするように適合された電子フィルタ186とを含む。一実施形態では、DC電源176は24ボルトDC 電力供給部であり、電気信号は正または負のバイアスを供給することができる。
DC電源176を増幅器184に結合して、DC電源176からの電気信号を増幅することができる。DC電源176および増幅器184に電圧スパイクが加 わらないように、電圧変動は電子フィルタ186でフィルタリングされる。一実施形態では、フィルタ186は、コンデンサ190および192を並列に伴うイ ンダクタ188とすることができる。増幅されフィルタリングされた電気信号は、電極123および基板121に供給されて、基板121の静電気クランピング が可能になる。コンデンサ190および192もまた、電極123がRF電力の接地部材として機能することを可能にし、RF電力は、コネクタ194および 196によって接地に結合される。コンデンサ190および192は、RF電力を通過させながら、DC電力がDC電源176から接地に向かうことを防止す る。一実施形態では、コンデンサ190および192は、それぞれ10〜15アンペアおよび約2000ボルトで、それぞれ0.054マイクロファラド (μF)のコンデンサとすることができる。このようにして電極123は、基板バイアス電極、およびRF電力の帰還電極として機能する。
上記のように、電極123は、DC電源176からバイアスを供給し、RF電源165からのRFエネルギーの接地経路として機能する。処理容積120内で 発生される容量結合プラズマ103は、整合回路173によってコントローラ175からの信号に基づいて調整することができる。しかし、電極123の構成で は、それがRFエネルギーの接地面として機能する際に、許容できるプラズマの放電または空間分布を得ることができない。例えば、基板121の周縁はプラズ マ放電に断続的にしかさらされず、そのため周縁では不十分な、または低減された堆積になる。図1Aおよび図1Bに関して別の例では、プラズマ103の周縁 で、基板の周縁に沿って領域15を生み出すことがあり、この領域は、堆積材料の過剰な堆積および積み重なりが基板121上に発生する区域になりうる。
図2Aで例示された実施形態では、電極123は、図1Aおよび図1Bに関連して説明したプラズマ縁部効果を相殺するように成形することができる。この実 施形態で示されたように電極123の周縁に傾斜を付けると、軸方向だけでなく径方向の成分を有する電界が処理容積120の内側に発生することになる。電極 123とフェースプレート146の間の電位差は、電極123上のそれぞれ異なる点で異なる。これらの電位差により静電力が生じ、この静電力は、電界の軸方 向成分が荷電粒子をフェースプレート146から電極123へと押しやり、電界の径方向成分が荷電粒子を押してチャンバの中心に近づけたり遠ざけたりする。 加えて、電極123は、電極へのDC電力をコントローラ175からの信号に基づいて調節することによって、調整することもできる。このように、この実施形 態で電極123によって例示的に示されたプラズマ発生器の接地面は、調整可能であり、プラズマ縁部効果の軽減を可能にする。
図2Bは、図2Aのプラズマ処理チャンバの別の概略側面図であり、基板サポート128内部の電極123をより明瞭に示す。電界は、フェースプレート 146を通して処理容積120に供給される処理ガスの容量結合によって、プラズマ103を生じさせる。この実施形態では、電極123は、平坦部分204お よび傾斜部分205を特徴とする。電極123の平坦部分204は、平面を画定する第1の部分を備え、傾斜部分205は、1つの面を画定する第2の部分を備 える。基板サポート128は、第2の平面を画定する。この実施形態では、平坦部分204によって画定された第1の平面と、基板サポート128によって画定 された第2の平面とはほぼ平行であるが、第1の平面は、傾斜部分205によって画定された面とは交差する。このように、電極123は三次元構造を呈示し、 その結果、径方向成分および軸方向成分を有する電界が生じる。電極123の傾斜部分205は、処理容積120内部の電界線を、プラズマ103が広がって基 板サポート128上に配置された基板121をより完全に覆うように曲げる。
図2Bで例示されたように、傾斜縁部を伴う電極123を特徴とする諸実施形態では、傾斜部分205は平坦部分204と、断面で、約135°など約90° と約170°の間が好ましい角度を形成する。したがって、図2Bに示される実施形態では、電極123の傾斜部分205は、平坦部分204と鈍角を形成し、 基板サポート128の表面から離して傾斜を付けられている。他の実施形態では、傾斜部分205は、基板サポート128の表面に向けて傾斜を付けることがで き、あるいは基板サポート128の表面に向けたり離したりして曲げられることができる。いくつかの実施形態では、電極123の縁部は、基板サポート128 上に配置された基板の縁部を越えて延びることができる。他の実施形態では、基板の縁部は、基板サポート128および電極123の縁部を越えて延びることが できる。さらに他の実施形態では、電極123は、電極123の平坦部分204と基板サポート128の表面との間の距離が約5mmから10mmになるような 深さで、基板サポート128に埋め込まれる。いくつかの実施形態では、傾斜部分205は、平坦部分204から最も遠い傾斜部分205の端部が、基板サポー ト128の表面から平坦部分204よりも約25%から約50%遠くなるように構成することができる。他の実施形態では、電極123の縁部を越えて延びる基 板サポート128の部分は、幅が1mmから3mmになることができる。
他の実施形態では、部分205は電極123の縁部であり、部分204は電極123の中央部である。部分205は、部分204と205がほぼ平行な平面を 画定するように、部分204に対して上げられたり下げられたりすることができるが、部分205は、基板サポート128の表面により近くなってもより遠く なってもよい。いくつかの実施形態では、部分205は、部分204から約0.5mmから約2mmずれていることができる。部分204と205を接合する勾 配を付けた部分があってもよく、この勾配を付けた部分は、部分204および205とある角度を形成し、あるいは部分204および205と湾曲接合部を形成 することができる。
加えて、部分205は、部分204に対して傾斜を付けられていようといまいと、部分204よりも厚くても薄くてもよい。部分205の厚さは、部分204 の厚さから最大約0.5mmだけ差をつけることができ、そのため部分205は、部分204よりも最大0.5mmまで薄くなり、あるいは部分205は、部分 204よりも最大0.5mmまで厚くなる。部分204または205の厚さはまた、徐々に減少してもよい。例えば、部分205は、それが部分204と接合す るところで最大約3mmまでの厚さがあり、その縁部では0.5mm以下の厚さまで徐々に減少してよい。部分205には、断面が成形されたビーズなどの成形 縁部を、部分205の縁部に取り付けられた円形ビーズなどと同様に備え付けることができる。このビーズは、三角形、正方形または台形などの、任意の有利な 断面の形状を有することができる。
図3は、別の実施形態によるプラズマ処理チャンバの概略側面図である。この実施形態では、チャンバ300は、ゾーン化シャワーヘッドアセンブリ360を 特徴とする。シャワーヘッドアセンブリ360のフェースプレート146は、電気アイソレータ370によって個別の導電ゾーンに分離される。一実施形態で は、RF電力は、独立したRF源165および330からそれぞれ、独立した整合ネットワーク173および340を介して、すべてコントローラ175の制御 のもとに各ゾーンに別々に印加される。別の実施形態では、単一のRF源がそれぞれのゾーンに、またはすべてのゾーンに一括して、電力を供給する。上記のよ うに電圧バイアスが、一括して要素350で表されているDCバイアス源により電極123に印加され、要素350は、上記のようにフィルタ186などのフィ ルタ、および増幅器184などの増幅器を含むことができ、コネクタで電極123に結合される。ゾーン化シャワーヘッドアセンブリ360は、独立したRF源 165および330に結合され、これにより、独立したインピーダンス整合ネットワーク173および340を介して、処理容積120の内側の電界を調整する ように各ゾーンに異なる電力レベルを印加して、プラズマ103の空間分布を制御することが可能になる。
図4は、本発明の別の実施形態によるプラズマ処理チャンバの概略側面図である。この実施形態では、チャンバ400で、チャンバ側壁112に埋め込まれた 電極410を利用する。チャンバ壁電極410は、アルミニウムなどの適切な導電材料でできており、アイソレータ320によって側壁112から分離され、ア イソレータ105によってチャンバ蓋104から分離される。各アイソレータは、任意の適切な絶縁材料で作ることができるが、チャンバ壁の材料と同様な熱特 性を有する材料でできていることが好ましい。このような材料の1つはセラミックである。この実施形態では、前記のように電圧バイアスが電極123に、図 2Aに関して上のように、コネクタで電極123に結合されたDC要素350で一括して表されるDC源、増幅器およびフィルタにより印加される。同様なバイ アス発生器420をチャンバ壁電極410に結合することができる。コントローラ175は、フェースプレート146へのRF電力の印加、電極123へのバイ アス電力の印加、およびチャンバ壁電極410へのバイアス電力の印加を制御するように適合させて、プラズマ103によって基板121が適切に覆われること を確実にすることができる。
図5は、本発明の別の実施形態によるプラズマ処理チャンバ500の概略側面図である。この実施形態では、チャンバ壁電極410は側壁112から分離され ず、したがってプラズマ103は、電極123だけでなくチャンバ壁とも直接結合することができ、その結果、チャンバ壁電極410、側壁112、および電極 123は、ひとまとめで接地面として働くことになる。こうして、チャンバ壁電極410に印加されるDCバイアスは、チャンバ壁全体に印加され、それによっ て、プラズマ103が処理容積120の周縁に向かって広がり、基板121を覆うことになる。側壁112からの放電を防止するために絶縁体520が設けら れ、アイソレータ105で、チャンバの残りの部分から蓋アセンブリ148を分離する。
図6は、本発明の別の実施形態によるプラズマ処理チャンバ600の概略側面図である。この実施形態では、2つの電極623Aおよび623Bが基板サポー ト128の内部に埋め込まれる。前述のように、各電極は、DC電圧バイアスを印加して基板121を適所にクランプしながら、RF電力の接地面として働くよ うに構成される。各電極は、DCバイアス発生器610および620それぞれによって別々にバイアスされる。前述のように、各DCバイアス発生器はDC源を 備え、必要に応じて増幅器およびフィルタを伴う。接地面を独立して調整できることにより、プラズマ103の空間分布を制御するための電界を処理容積120 の内側で成形してプラズマ縁部効果を最小化する、またはなくする能力が得られる。
上記の各実施形態は、本発明の諸要素を実証的に組み込む例である。縁部効果を伴わずに基板121を完全に覆うために、以上の諸要素の任意の組み合わせを 用いて、処理容積120の内側でプラズマ103を調整し成形することができる。多電極、成形または未成形の接地部材、バイアス発生器、アイソレータなどの 任意の組み合わせを使用することができる。例えば、多数の成形接地部材、または側壁電極を備える単一の成形接地部材を使用することができる。ゾーン化シャ ワーヘッド電極もまた、1つまたは複数の成形接地部材と共に、かつ1つまたは複数の側壁電極と共に使用することができる。
作業工程では、基板が上記の実施形態のいずれかにより、プラズマ処理チャンバ内側の基板サポート上に配置される。処理ガスが、第1の電極を備えるシャ ワーヘッドアセンブリを通して処理チャンバに供給される。RF電力が、インピーダンス整合ネットワークを通してRF発生器を第1の電極に結合することに よって、第1の電極に印加される。RF発生器は、約13.56MHzなどの高周波電力、または約300kHzなどの低周波電力を発生することができる。 RF電力を第1の電極に印加すると、処理チャンバ内側に振動電界が生じ、処理ガスがプラズマ中にイオン化する。
基板は基板サポート上に配置され、接地部材が基板サポートに埋め込まれる。接地部材は、DC電力を基板サポートに結合する電極として働き、第1の電極と 共に、処理チャンバ内に処理容積を画定する。DC電力が、基板サポートを通るコネクタを使用して電極に結合される。DC電力は電極に印加されて電極内に電 圧バイアスが生じ、これにより基板が基板サポートに確実にクランプされることになる。電子フィルタをDC電源と基板サポート内に配置された電極との間に、 電極がDC電圧バイアスを基板に印可しながらRF電力の接地への通路として働くことができるように、設けることができる。このようにして、基板サポート内 の電極は、RF電力の接地部材として働くことができる。コントローラを使用して整合ネットワークのインピーダンスを調整することによって、プラズマまで送 り出される電力を調節することができる。このコントローラは、DC源の電力出力を調節して処理チャンバ内側の電界を調整するのに使用することもできる。こ のようにして、径方向成分ならびに軸方向成分を有する電界が発生され、それによって、チャンバの中心に向かう、またはそこから離れるようにプラズマの空間 分布を調節して基板を完全にカバーすることが可能になる。
この実施形態では、接地部材は、所望の電界特性を生み出すように成形される。例えば、その接地部材は、基板サポートの表面にほぼ平行な第1の部分と、こ の第1の部分から徐々に細くなる第2の部分とを特徴とすることができる。第1の部分は1つの平面を画定し、第2の部分は、その平面と交差する面を画定す る。したがって、成形された接地部材が、交差する複数の面を画定する。
一代替実施形態では、多数の接地部材を設けることができる。例えば、第1の接地部材と異なる形状を有する第2の接地部材を基板サポート内部に埋め込むこ とができる。コントローラは、各接地部材に印加されるバイアスを別々に調整して、所望の空間分布のプラズマを生じさせることができる。
別の実施形態では、ゾーン化シャワーヘッド電極を使用して、調整可能な電界を発生させることができる。RF電力は、それぞれ異なる整合ネットワークを通 して、異なるゾーンに別個に供給することができる。コントローラを使用して整合ネットワークのインピーダンスを調節することによって、各ゾーンに供給され る電力を調整することができる。上で論じたように、基板サポート内に埋め込まれた電極にDC電圧バイアスが印加されて基板がクランプされ、接地までのRF 電力の経路が得られる。この実施形態では、シャワーヘッド電極のそれぞれ異なるゾーンへの電力送出を調整することにより、径方向成分ならびに軸方向成分を 有する電界が結果として得られ、プラズマの空間分布の制御が可能になる。
別の実施形態では、電界およびプラズマは、処理チャンバの側壁内に電極を設けることによって径方向に調節することができる。いくつかの実施形態では、 チャンバ壁自体を電極として使用することができる。この電極も、基板サポート内に埋め込まれた電極に加えて、接地またはバイアスすることができる。コント ローラを使用して、基板サポート電極および側壁電極のバイアスと、シャワーヘッド電極に送り出される電力とを別個に調節し、プラズマの空間分布を調整する ことができる。
以上は本発明の諸実施形態を対象とするが、本発明の他のさらなる実施形態を本発明の基本的な範囲から逸脱することなく考案することができ、その範囲は、添付の特許請求の範囲によって確定される。

Claims (15)

  1. 基板を処理する装置であって、
    基板サポートと、
    前記基板サポートに結合された1つまたは複数の電極と、
    前記基板サポートに対向するフェースプレートを有するシャワーヘッドアセンブリと、
    前記基板サポートから離れて径方向に間隔をおいて置かれた1つまたは複数の接地要素とを備え、前記基板サポートと前記フェースプレートが協働的に処理容 積を画定し、前記1つまたは複数の電極が、軸方向成分および径方向成分を有する調整可能な電界を前記処理容積内部に発生させるように適合される、装置。
  2. 前記1つまたは複数の電極が前記基板サポート内に配置される、請求項1に記載の装置。
  3. 前記1つまたは複数の電極のうちの少なくとも1つの一部分が傾斜を付けられる、請求項1に記載の装置。
  4. 1つまたは複数の接地面のうちの少なくとも1つに結合された1つまたは複数の調整可能な回路をさらに備える、請求項1に記載の装置。
  5. 前記1つまたは複数の電極のうちの少なくとも1つに結合された1つまたは複数の調整可能な回路をさらに備える、請求項4に記載の装置。
  6. 前記フェースプレートが1つまたは複数のアイソレータによって分離されたゾーンに分割される、請求項1に記載の装置。
  7. 前記1つまたは複数の接地面の間に配置されたアイソレータをさらに備える、請求項6に記載の装置。
  8. 処理チャンバ内で基板を支持する装置であって、
    サポート面と、
    前記サポート面内に配置された熱制御要素と、
    第1の面を画定する第1の部分および傾斜面を画定する第2の部分を有し、前記傾斜面が前記第1の面と交差する、前記サポート面内に配置された電極と、
    前記電極に結合された調整器とを備える、装置。
  9. 前記電極に結合された電子フィルタをさらに備える、請求項8に記載の装置。
  10. 前記サポート面が第2の面を画定し、前記第1の面が前記第2の面にほぼ平行である、請求項8に記載の装置。
  11. 前記電極がRFメッシュである、請求項8に記載の装置。
  12. 容量結合プラズマの空間分布を制御する方法であって、
    第1の電極を処理チャンバ内部に置くステップと、
    前記処理チャンバ内部に第2の電極を置き、前記第1の電極と向かい合わせて処理容積を画定するステップであって、前記第2の電極が、第1の面を画定する第1の部分、および前記第1の面と交差する傾斜面を画定する第2の部分を有するステップと、
    無線周波(RF)電力を前記第1の電極に印加し直流(DC)電力を前記第2の電極に印加することによって、軸方向成分および径方向成分を有する電界を前記処理容積内部に発生させるステップとを含む、方法。
  13. 前記処理チャンバ内部に第3の電極を置くステップをさらに含む、請求項12に記載の方法。
  14. 前記第2の電極を使用して前記RF電力の接地までの経路を設け、前記処理容積内部に電圧バイアスを印加するステップをさらに含む、請求項12に記載の方法。
  15. 第2および第3の電極の少なくとも一方を調整するステップをさらに含む、請求項13に記載の方法。
JP2013187350A 2008-03-20 2013-09-10 プラズマチャンバ内の調整可能接地面 Pending JP2014053309A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/052,431 2008-03-20
US12/052,431 US20090236214A1 (en) 2008-03-20 2008-03-20 Tunable ground planes in plasma chambers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011500820A Division JP2011519117A (ja) 2008-03-20 2009-01-26 プラズマチャンバ内の調整可能接地面

Publications (1)

Publication Number Publication Date
JP2014053309A true JP2014053309A (ja) 2014-03-20

Family

ID=41087806

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011500820A Pending JP2011519117A (ja) 2008-03-20 2009-01-26 プラズマチャンバ内の調整可能接地面
JP2013187350A Pending JP2014053309A (ja) 2008-03-20 2013-09-10 プラズマチャンバ内の調整可能接地面

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2011500820A Pending JP2011519117A (ja) 2008-03-20 2009-01-26 プラズマチャンバ内の調整可能接地面

Country Status (7)

Country Link
US (3) US20090236214A1 (ja)
JP (2) JP2011519117A (ja)
KR (1) KR101546278B1 (ja)
CN (2) CN103594340A (ja)
SG (1) SG189685A1 (ja)
TW (1) TWI508632B (ja)
WO (1) WO2009117173A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170101237A (ko) * 2015-01-09 2017-09-05 어플라이드 머티어리얼스, 인코포레이티드 리소그래피 오버레이 개선을 위한 반도체 애플리케이션들에 대한 게이트 스택 재료들
JP2020092028A (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2021012960A (ja) * 2019-07-08 2021-02-04 東京エレクトロン株式会社 プラズマ処理装置
KR20210091336A (ko) 2018-12-06 2021-07-21 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 하부 스테이지
KR20210096250A (ko) 2018-12-06 2021-08-04 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 플라스마 처리 방법
KR20210098522A (ko) 2018-12-06 2021-08-10 도쿄엘렉트론가부시키가이샤 샤워 플레이트, 플라스마 처리 장치 및 플라스마 처리 방법

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
CN102884610A (zh) * 2010-05-12 2013-01-16 应用材料公司 局限工艺空间的pecvd腔室
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US9111727B2 (en) 2011-09-30 2015-08-18 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
US9396955B2 (en) 2011-09-30 2016-07-19 Tokyo Electron Limited Plasma tuning rods in microwave resonator processing systems
US8808496B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Plasma tuning rods in microwave processing systems
US9728416B2 (en) 2011-09-30 2017-08-08 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9673069B2 (en) * 2012-07-20 2017-06-06 Applied Materials, Inc. High frequency filter for improved RF bias signal stability
US20140049162A1 (en) * 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
KR102205945B1 (ko) * 2012-09-26 2021-01-20 어플라이드 머티어리얼스, 인코포레이티드 폐쇄 루프 제어를 갖는 바닥 및 측부 플라즈마 튜닝
WO2014149258A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
CN105190843A (zh) * 2013-03-15 2015-12-23 应用材料公司 在处理室中使用调节环来调节等离子体分布的装置和方法
US10125422B2 (en) 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US10032608B2 (en) * 2013-03-27 2018-07-24 Applied Materials, Inc. Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
KR102038647B1 (ko) * 2013-06-21 2019-10-30 주식회사 원익아이피에스 기판 지지 장치 및 이를 구비하는 기판 처리 장치
KR102298032B1 (ko) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법
JP6574547B2 (ja) * 2013-12-12 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9355776B2 (en) * 2014-04-09 2016-05-31 Applied Materials, Inc. Capacitor assemblies for coupling radio frequency (RF) and direct current (DC) energy to one or more common electrodes
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US9941132B2 (en) * 2015-03-31 2018-04-10 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
KR20170024922A (ko) * 2015-08-26 2017-03-08 삼성전자주식회사 플라즈마 발생 장치
US10879041B2 (en) * 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US10631370B2 (en) * 2015-10-30 2020-04-21 Ngk Insulators, Ltd. Member for semiconductor manufacturing apparatus, method for producing the same, and heater including shaft
US10510625B2 (en) * 2015-11-17 2019-12-17 Lam Research Corporation Systems and methods for controlling plasma instability in semiconductor fabrication
WO2017120241A1 (en) * 2016-01-07 2017-07-13 Applied Materials, Inc. Atomic layer etching system with remote plasma source and dc electrode
KR102158668B1 (ko) * 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
JP6846776B2 (ja) * 2016-11-30 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置
US10435789B2 (en) * 2016-12-06 2019-10-08 Asm Ip Holding B.V. Substrate treatment apparatus
US20180323039A1 (en) * 2017-05-05 2018-11-08 Applied Materials, Inc. Active far edge plasma tunability
KR102269345B1 (ko) * 2017-07-25 2021-06-28 주식회사 원익아이피에스 정전척 전류제어 장치
WO2019212799A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Rf grounding configuration for pedestals
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN109037020A (zh) * 2018-07-26 2018-12-18 德淮半导体有限公司 等离子体装置及其工作方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7465265B2 (ja) * 2018-11-21 2024-04-10 アプライド マテリアルズ インコーポレイテッド 位相制御を使用してプラズマ分布を調整するためのデバイス及び方法
US11094508B2 (en) 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
KR20210094115A (ko) * 2018-12-17 2021-07-28 어플라이드 머티어리얼스, 인코포레이티드 전자 빔 장치를 사용한 광 디바이스 제작 방법들
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
JP2023043720A (ja) * 2021-09-16 2023-03-29 キオクシア株式会社 基板処理装置、及び半導体装置の製造方法
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
FR3135731B1 (fr) * 2022-05-17 2024-04-12 Univ Grenoble Alpes Procédé de dépôt de couches atomiques assisté par plasma à couplage capacitif
FR3135732B1 (fr) * 2022-05-17 2024-04-12 Univ Grenoble Alpes Réacteur de dépôt assisté par plasma
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0196931A (ja) * 1987-10-09 1989-04-14 Mitsubishi Electric Corp プラズマエツチング装置
JPH0637051A (ja) * 1992-07-15 1994-02-10 Tokyo Electron Ltd プラズマ装置
JPH07169745A (ja) * 1993-12-16 1995-07-04 Sharp Corp 平行平板型ドライエッチング装置
JPH08264515A (ja) * 1994-04-20 1996-10-11 Tokyo Electron Ltd プラズマ処理装置、処理装置及びエッチング処理装置
JP2000164570A (ja) * 1998-11-26 2000-06-16 Sumitomo Metal Ind Ltd プラズマ処理装置
JP2000306891A (ja) * 1999-04-22 2000-11-02 Hitachi Ltd プラズマ処理装置
JP2001085415A (ja) * 1999-06-15 2001-03-30 Tokyo Electron Ltd 基板の改良されたプラズマ処理のための装置および方法
WO2002082499A2 (en) * 2001-04-03 2002-10-17 Applied Materials, Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
WO2005022623A1 (en) * 2003-08-22 2005-03-10 Lam Research Corporation High aspect ratio etch using modulation of rf powers of various frequencies
JP2006339391A (ja) * 2005-06-02 2006-12-14 Matsushita Electric Ind Co Ltd ドライエッチング装置
JP2007250860A (ja) * 2006-03-16 2007-09-27 Tokyo Electron Ltd プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692836A (en) * 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4585515A (en) 1985-03-11 1986-04-29 Rca Corporation Formation of conductive lines
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH0653176A (ja) * 1992-07-30 1994-02-25 Matsushita Electron Corp ドライエッチング装置
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5414324A (en) * 1993-05-28 1995-05-09 The University Of Tennessee Research Corporation One atmosphere, uniform glow discharge plasma
JP3257180B2 (ja) * 1993-09-21 2002-02-18 ソニー株式会社 成膜方法
JPH08306663A (ja) * 1995-04-28 1996-11-22 Sony Corp プラズマ装置及びこれを用いたプラズマ処理方法
TW473857B (en) * 1996-04-26 2002-01-21 Hitachi Ltd Method of manufacturing semiconductor device
US5737175A (en) * 1996-06-19 1998-04-07 Lam Research Corporation Bias-tracking D.C. power circuit for an electrostatic chuck
JP3650248B2 (ja) * 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JPH10321604A (ja) * 1997-05-22 1998-12-04 Nec Kyushu Ltd プラズマ処理装置
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6488820B1 (en) * 1999-08-23 2002-12-03 Applied Materials, Inc. Method and apparatus for reducing migration of conductive material on a component
JP2001096931A (ja) 1999-09-28 2001-04-10 Alps Electric Co Ltd 印刷方法および印刷用紙
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
WO2001088966A2 (en) * 2000-05-12 2001-11-22 Tokyo Electron Limited Method of adjusting the thickness of an electrode in a plasma processing system
TW511398B (en) * 2000-09-12 2002-11-21 Tokyo Electron Ltd Apparatus and method to control the uniformity of plasma by reducing radial loss
JP2002241945A (ja) * 2001-02-20 2002-08-28 Nissin Electric Co Ltd 薄膜形成装置
JP2002317267A (ja) * 2001-04-17 2002-10-31 Nec Kagoshima Ltd 薄膜製造方法
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
US20050016465A1 (en) * 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
JP4418193B2 (ja) * 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
KR20050053076A (ko) * 2003-12-02 2005-06-08 주식회사 아이피에스 반도체 기판의 박막 제조장치
JP4584572B2 (ja) * 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
JP4804824B2 (ja) * 2005-07-27 2011-11-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWM292147U (en) * 2005-11-23 2006-06-11 Celetech Semiconductor Inc Radio frequency grounding apparatus
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
TWI339404B (en) * 2006-01-27 2011-03-21 Advanced Micro Fab Equip Inc A plasma processing apparatus equipped with plasma confinement apparatus
US20070215284A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma processing apparatus and electrode assembly for plasma processing apparatus
JP4790458B2 (ja) * 2006-03-22 2011-10-12 東京エレクトロン株式会社 プラズマ処理装置
US20070227663A1 (en) * 2006-03-28 2007-10-04 Tokyo Electron Limited Substrate processing apparatus and side wall component
US8418892B2 (en) 2006-08-17 2013-04-16 Coster Tecnologie Speciali S.P.A. Lockable spray cap
US20080084650A1 (en) * 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
KR101250356B1 (ko) 2006-11-08 2013-04-05 주식회사 원익아이피에스 반도체 제조 장치
US20080142481A1 (en) * 2006-12-18 2008-06-19 White John M In-situ particle collector
JP4838736B2 (ja) * 2007-01-25 2011-12-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5029089B2 (ja) * 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
TWI440405B (zh) * 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5458050B2 (ja) * 2011-03-30 2014-04-02 日本碍子株式会社 静電チャックの製法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0196931A (ja) * 1987-10-09 1989-04-14 Mitsubishi Electric Corp プラズマエツチング装置
JPH0637051A (ja) * 1992-07-15 1994-02-10 Tokyo Electron Ltd プラズマ装置
JPH07169745A (ja) * 1993-12-16 1995-07-04 Sharp Corp 平行平板型ドライエッチング装置
JPH08264515A (ja) * 1994-04-20 1996-10-11 Tokyo Electron Ltd プラズマ処理装置、処理装置及びエッチング処理装置
JP2000164570A (ja) * 1998-11-26 2000-06-16 Sumitomo Metal Ind Ltd プラズマ処理装置
JP2000306891A (ja) * 1999-04-22 2000-11-02 Hitachi Ltd プラズマ処理装置
JP2001085415A (ja) * 1999-06-15 2001-03-30 Tokyo Electron Ltd 基板の改良されたプラズマ処理のための装置および方法
WO2002082499A2 (en) * 2001-04-03 2002-10-17 Applied Materials, Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
WO2005022623A1 (en) * 2003-08-22 2005-03-10 Lam Research Corporation High aspect ratio etch using modulation of rf powers of various frequencies
JP2006339391A (ja) * 2005-06-02 2006-12-14 Matsushita Electric Ind Co Ltd ドライエッチング装置
JP2007250860A (ja) * 2006-03-16 2007-09-27 Tokyo Electron Ltd プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020170846A (ja) * 2015-01-09 2020-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated リソグラフィオーバーレイ改善のための半導体アプリケーション用ゲートスタック材料
JP2018508980A (ja) * 2015-01-09 2018-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated リソグラフィオーバーレイ改善のための半導体アプリケーション用ゲートスタック材料
KR102579241B1 (ko) * 2015-01-09 2023-09-14 어플라이드 머티어리얼스, 인코포레이티드 리소그래피 오버레이 개선을 위한 반도체 애플리케이션들에 대한 게이트 스택 재료들
KR20170101237A (ko) * 2015-01-09 2017-09-05 어플라이드 머티어리얼스, 인코포레이티드 리소그래피 오버레이 개선을 위한 반도체 애플리케이션들에 대한 게이트 스택 재료들
KR20210091336A (ko) 2018-12-06 2021-07-21 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 하부 스테이지
WO2020116256A1 (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20210096250A (ko) 2018-12-06 2021-08-04 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치 및 플라스마 처리 방법
KR20210098522A (ko) 2018-12-06 2021-08-10 도쿄엘렉트론가부시키가이샤 샤워 플레이트, 플라스마 처리 장치 및 플라스마 처리 방법
JP7186393B2 (ja) 2018-12-06 2022-12-09 東京エレクトロン株式会社 プラズマ処理装置
JP2020092028A (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11929234B2 (en) 2018-12-06 2024-03-12 Tokyo Electron Limited Plasma processing apparatus and lower stage
US11990316B2 (en) 2018-12-06 2024-05-21 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP2021012960A (ja) * 2019-07-08 2021-02-04 東京エレクトロン株式会社 プラズマ処理装置
JP7285152B2 (ja) 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
SG189685A1 (en) 2013-05-31
KR101546278B1 (ko) 2015-08-21
US20090236214A1 (en) 2009-09-24
KR20100126510A (ko) 2010-12-01
JP2011519117A (ja) 2011-06-30
CN101978474A (zh) 2011-02-16
WO2009117173A1 (en) 2009-09-24
WO2009117173A4 (en) 2009-11-12
CN103594340A (zh) 2014-02-19
TWI508632B (zh) 2015-11-11
US10774423B2 (en) 2020-09-15
TW200952565A (en) 2009-12-16
US20160145742A1 (en) 2016-05-26
US20180073142A9 (en) 2018-03-15
US20120205046A1 (en) 2012-08-16
CN101978474B (zh) 2013-11-13

Similar Documents

Publication Publication Date Title
US10774423B2 (en) Tunable ground planes in plasma chambers
CN206758401U (zh) 控制电容耦合等离子体工艺设备的边缘环的射频振幅
US20190221463A1 (en) Process kit components for use with an extended and independent rf powered cathode substrate for extreme edge tunability
KR100743875B1 (ko) 전극 조립체
JP4713352B2 (ja) プラズマを閉じ込めかつ流動コンダクタンスを高める方法および装置
KR101029948B1 (ko) 접지에 대하여 조정가능한 커플링 회로로 플라즈마를 제어하는 시스템 및 방법
JP2023025029A (ja) 前駆体の流れを改善する半導体処理チャンバ
TWI574345B (zh) 靜電夾盤
KR20080071492A (ko) 다수의 vhf 소스들에 전력을 할당함으로써 웨이퍼에 대한 플라즈마 프로세스 균일성을 증가시키는 방법
JP2016506592A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
TW202014555A (zh) 用於處理腔室的塗層材料
TW202109603A (zh) 電漿處理裝置及電漿處理方法
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
TWI840341B (zh) 用於基板支撐件的處理套組
CN117178349A (zh) 等离子体处理装置和基片处理方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140805

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150127