TWI508632B - 電漿室中的可調式接地平面 - Google Patents

電漿室中的可調式接地平面 Download PDF

Info

Publication number
TWI508632B
TWI508632B TW098107031A TW98107031A TWI508632B TW I508632 B TWI508632 B TW I508632B TW 098107031 A TW098107031 A TW 098107031A TW 98107031 A TW98107031 A TW 98107031A TW I508632 B TWI508632 B TW I508632B
Authority
TW
Taiwan
Prior art keywords
electrode
substrate support
substrate
plasma
electrodes
Prior art date
Application number
TW098107031A
Other languages
English (en)
Other versions
TW200952565A (en
Inventor
Karthik Janakiraman
Thomas Nowak
Juan Carlos Rocha-Alvarez
Mark A Fodor
Dale R Dubois
Amit Bansal
Mohamad Ayoub
Eller Y Juco
Visweswaren Sivaramakrishnan
Saad Hichem M
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200952565A publication Critical patent/TW200952565A/zh
Application granted granted Critical
Publication of TWI508632B publication Critical patent/TWI508632B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

電漿室中的可調式接地平面
本發明之實施例大體上係關於用於沈積或移除基板上之材料的設備及方法。更具體地,本發明之實施例係關於在電漿腔室中用於控制電漿放電之強度及/或分佈的設備及方法。
電漿增強製程,例如,電漿增強化學氣相沈積(PECVD)製程、高密度電漿化學氣相沈積(HDPCVD)製程、電漿浸沒離子佈植製程及電漿蝕刻製程,已成為用於在基板上沈積材料及/或從基板上移除材料以形成結構之常見製程。
在製造半導體裝置上,電漿提供許多優點。舉例來說,由於能降低處理溫度、增強對高深寬比間隙的間隙填充以及較高的沈積速度,使得電漿能用於廣域之應用。
在習用之電漿處理系統中所存在的挑戰在於控制電漿以達到均勻的蝕刻及沈積。蝕刻速度及沈積均勻性的其中一個關鍵因素為處理期間的電漿空間分佈情形。舉例來說,在典型為平行板反應器的習知PECVD腔室中,影響電漿空間分佈的傳統因素為腔室壓力、電極間之距離及化學性質等等。雖然在PECVD腔室中習知的電漿分佈控制產生令人滿意的結果,但製程可更加改善。在電漿處理中一直存在的其中一個挑戰是欲在基板上形成薄膜 時大量材料(例如,導電材料、介電材料或半導電材料)的不均勻性或不均勻沈積。
第1A圖(先前技術)為基板1之橫剖面圖,其說明在習用電漿腔室中至少一部分是由不均勻性所導致的問題。基板1包含複數個結構5,結構5可以是形成在基板中的溝槽、通孔及類似結構。以習用電漿製程在基板上形成導電、介電或半導電材料層10以實質覆蓋基板1並填充結構5。基板1具有尺寸D1 ,若為矩形基板,尺寸D1 可為長度或寬度,若為圓形基板,尺寸D1 可為外側直徑。在此範例中,基板1為圓形基板,且尺寸D1 為外側直徑,其可等於約300 mm或200 mm。
如上文所述,層10實質覆蓋基板1,但實際上僅達到尺寸D2 ,使得基板1之周邊部分上具有少量或沒有材料。在一範例中,如果尺寸D1 為300 mm,則尺寸D2 可為約298 mm,而在圍繞基板1之周邊處產生約1 mm且上方具有少量或沒有材料的部分,且由於基板1之周邊實際上無法使用而降低基板1上之裝置產量。這類缺陷有時候稱為邊緣效應或電漿邊緣效應。
第1B圖(先前技術)為第1A圖之基板1的放大剖面圖,顯示基板1周邊上的表面區域20以說明在習用電漿腔室中至少一部分是由不均勻性所導致的另一項問題。由於上述之裝置產量降低,邊緣區域25顯示為無覆蓋。此外,習用的電漿製程可沿基板周邊產生區域15,區域15可能是發生過度沈積及材料堆積的區域。在後續製程 中,基板1可能經歷化學機械研磨(CMP)製程或其他平坦化或研磨製程,以移除一部分的層10。在後續製程中,由於區域15必須與層10一起移除,區域15可能形成挑戰。由於區域15的高度D3 介於數百埃()至數千埃()之間,高於層10之表面區域20,使得在後續製程中可能對產量造成負面影響。此外,移除區域15可能導致過度研磨表面區域20,而可能導致形成在基板1上的裝置或結構受損。
因此,需要有一種在電漿腔室中增強對電漿空間分佈控制的設備及方法,以解決上述問題。
此處所述之實施例大體上提供在電漿腔室中使用輔助接地板(secondary ground plane)來控制電漿空間分佈的方法及設備。
一實施例提供一種用於處理基板之設備,其包含一基板支撐件、耦合至該基板支撐件的一或多個電極、具有與該基板支撐件相對之面板的噴頭組件,以及一或多個接地元件,該些接地元件與該基板支撐件徑向分隔,其中該基板支撐件及該面板合作定義出一處理容積,且該一或多個電極適於在該處理容積內產生具有軸向及徑向分量的可調電場。
另一實施例提供一種在處理腔室中用於支撐基板之設備,其包含一支撐表面、配置在該支撐表面內部的一熱控制元件、配置在該支撐表面內部的一電極,以及一調整器(tuner)耦合至該電極,其中該電極具有定義一第一平面的第一部分以及定義一角度表面(angled surface)的第二部分,且該角度表面與該第一平面相交。
另一實施例提供一種控制電容耦合電漿之空間分佈的方法,其包含:在一處理腔室內設置一第一電極;在該處理腔室內設置一第一接地平面並使之面對該第一電極以定義一處理容積;及藉由施加射頻功率至該第一電極和施加直流功率至該第一接地平面而在該處理容積內產生具有軸向及徑向分量之電場。
本發明大體上提供在具有平行電極之電漿產生器的電漿反應器中處理基板期間,用於控制電漿空間分佈的方法及設備。
第2A圖為電漿增強化學氣相沈積(PECVD)系統100之一實施例的概略剖面圖。PECVD系統100大體上包含腔室主體102,其支撐腔室蓋104,可以一或多個緊固件(例如,螺釘、螺栓、鉸鏈及類似物)將腔室蓋104附接至腔室主體102。腔室主體102包含腔室側壁112及底部壁116,以定義出在基板支撐件128及噴頭組件142之間用 於容納電漿103的處理容積120。控制器175耦合至系統100以提供製程控制,例如,氣體輸送及排氣、傳送功能,以及其他功能。
腔室蓋104耦合至氣體分配系統108,以透過噴頭組件142輸送反應物及清潔氣體至處理容積120中。噴頭組件142包含氣體入口通道140,其由一或多個氣體入口168、163及169輸送氣體至處理容積120中。一遠端電漿源(未顯示)可耦合在處理容積120和氣體入口168、163及169之間。PECVD系統100亦可包含液體輸送源150及氣源172,配置用以提供載氣及/或前驅物氣體。形成在側壁112中並耦合至幫浦系統164的圓周幫浦槽道125係配置用於將氣體從處理容積120中排出及控制處理容積120內部之壓力。腔室內襯件(liner)127,較佳由陶瓷或類似材料製成,可配置在處理容積120中以保護側壁112免於遭受腐蝕性的處理環境。複數個排氣埠131可形成在腔室內襯件127上,以將處理容積120耦合至幫浦槽道125。
底板(base plate)148整合了腔室蓋104、氣體分配系統108及噴頭組件142。冷卻槽道147形成在底板148中,以在操作期間冷卻底板148。冷卻入口145輸送冷卻劑流體(例如水或類似物)至冷卻槽道147中。冷卻劑流體透過冷卻劑出口149離開冷卻槽道147。
基板支撐件128配置用於在處理期間支撐及持定基板121。基板支撐件128適於在處理容積120內部垂直移 動,並可額外配置成能藉由一耦合至桿122之驅動系統來轉動基板支撐件128。舉升銷161可包含在基板支撐件128內,以幫助移送基板進出處理容積120。在一實施例中,基板支撐件128包含至少一電極123,一電壓施加至此電極123以在將基板121靜電固定在基板支撐件128上。電極123係由連接至電極123之直流(DC)功率源176提供動力。雖然圖中將基板支撐件128繪示成單極直流夾盤,此處所述之實施例可用在任何適於在電漿腔室中作為接地平面之基板支撐件,另可為雙極夾盤、三極夾盤、直流夾盤、指叉型夾盤、分區夾盤及類似夾盤。
基板支撐件128可包含加熱元件126,例如電阻加熱元件,以將放置在基板支撐件128上之基板121加熱至所需的製程溫度。加熱元件126可耦合至交流(AC)功率供應器(未顯示),以提供電壓(例如,約208伏特)給加熱元件126。
射頻(RF)功率源165透過阻抗匹配電路173耦合至噴頭組件142。噴頭組件142之面板146及電極123形成一電容電漿產生器,其中電極123可經由電子濾波器(例如電容190)而接地。射頻源165提供射頻能量給噴頭組件142,以幫助在噴頭組件142之面板146及基板支撐件128之間產生電容式電漿(capacitive plasma)。因此,電極123提供用於射頻源165的接地路徑及來自直流功率源176之電偏壓兩者,而能靜電地夾鉗基板121。
基板支撐件128大體上包含一主體,其由陶瓷材料,例如,氧化鋁(Al2 O3 )、氮化鋁(AlN)、二氧化矽(SiO2 )、或其他陶瓷材料製成。在一實施例中,基板支撐件128之主體設計成可在約-20℃至約700℃之溫度範圍中使用。電極123可為篩網(mash),例如,射頻篩網,或是多孔薄板材料,其可由鉬(Mo)、鎢(W)或其他具有實質上與包含基板支撐件128主體之陶瓷材料之膨脹係數相類似的材料製成。嵌入基板支撐件128中的電極123與噴頭組件142的面板146一起合作定義出處理容積120。
射頻源165可包含高頻射頻(HFRF)功率源,例如13.56 MHz之射頻產生器,以及低頻射頻(LFRF)功率源,例如300 kHz之射頻產生器。LFRF功率源提供低頻產生元件及固定匹配元件兩者。HFRF功率源設計成與一固定匹配(fixed match)併用,並調節輸送至負載的功率,同時消除順向及反射功率方面的疑慮。
電極123耦合至導電構件180。導電構件180可為桿、管、電線或類似物,並由導電材料製成,例如,鉬(Mo)、鎢(W)或其他具有實質上與包含基板支撐件128在內等其他材料之膨脹係數相類似的材料製成。電極123作為射頻功率的返回路徑及一偏壓電極,以能夠靜電夾鉗基板。為了提供電偏壓給基板121,電極123與供應偏壓電壓給電極123之功率供應系統182通訊。功率供應系統182包含直流功率源176以供應直流訊號給電極123,以及包含電子濾波器186用於過濾直流功率源176及電 極123間之電壓波動。在一實施例中,直流功率源176為24伏特的直流功率供應器,且電訊號可提供正或負偏壓。
直流功率源176可耦合至放大器184,以放大來自直流功率源176之電訊號。利用電子濾波器186過濾電壓波動,以防止直流功率源176及放大器184遭受電壓突波。在一實施例中,濾波器186可為具有並聯電容190及192的電感(inductor)188。經過放大及過濾的電訊號提供給電極123及基板121,以能夠靜電夾鉗基板121。電容190及192亦允許電極123作為射頻功率的接地構件,其中射頻功率藉由連接器194及196而接地。當傳遞射頻功率時,電容190及192防止來自直流功率源176之直流功率傳遞至地。在一實施例中,於10至15安培及約2000伏特(volts)下,電容190及192可各為0.054微法拉第(μF)之電容。以此方式,電極123可作為基板偏壓電極以及射頻功率返回電極。
如上文所述,電極123提供來自直流功率源176之偏壓,並作為來自射頻功率源165之射頻能量的接地路徑。可根據來自控制器175的訊號,利用匹配電路173來調整處理容積120中所產生之電容耦合電漿103。然而,在電極123作為射頻能量之接地平面的配置中,可能無法提供可接受的電漿放電或空間分佈。舉例來說,基板121的周圍(periphery)可能僅遭遇間歇電漿放電,其導致周圍處不完全或較少的沈積。在參照第1A 及1B圖之另一範例中,電漿103之周圍可沿基板周長產生區域15,區域15可能是在基板121上發生過度沈積及沈積材料堆積的區域。
在第2A圖所示之實施例中,可塑造電極123的形狀,以消除參照第1A及1B圖所述的電漿邊緣效應。如在此實施例中所示,使電極123之周圍具有角度(angling),將導致在處理容積120內產生具有徑向和軸向分量之電場。在電極123之不同點處,電極123及面板146之間的電位差亦不相同。這些電位差產生靜電力,其中電場之軸向分量將帶電粒子從面板146推向電極123,而電場之徑向分量使帶電粒子更接近或更遠離腔室中心。此外,可根據來自控制器175之訊號藉著調整供應至電極的直流功率,而對電極123進行調整。以此方式,用於電漿產生器之接地平面(在此實施例中以電極123作為示範)為可調整並能緩解電漿邊緣效應。
第2B圖為第2A圖之電漿處理腔室的另一概略側視圖,其更清楚地顯示位於基板支撐件128內部的電極123。透過面板146將電場電容耦合至提供給處理容積120之製程氣體而產生電漿103。在此實施例中,電極123之特徵在於平坦部分204及角度部分205。電極123之平坦部分204包含定義出一平面的第一部分,且角度部分205包含定義出一表面的第二部分。基板支撐件128定義一第二平面。在此實施例中,由平坦部分204定義的第一平面及由基板支撐件128定義的第二平面實質上 平行,而第一平面與角度部分205所定義的表面相交。以此方式,電極123呈現三維結構,而產生具有徑向及軸向分量之電場。電極123之角度部分205會以散佈電漿103使之更完全覆蓋基板支撐件128上之基板121的方式來彎曲處理容積120內的電場線。
如第2B圖所示,對於特徵為具有角度邊緣之電極123的實施例來說,角度部分205在剖面上將與平坦部分204形成一角度,其較佳介於約90o 至約170o 之間,例如,約135o 。在第2B圖所示之實施例中,電極123之角度部分205因而與平坦部分204形成鈍角,並遠離基板支撐件128之表面彎折。在其他實施例中,角度部分205可朝向基板支撐件128之表面彎折,或可朝向或遠離基板支撐件128之表面彎曲。在某些實施例中,電極123之邊緣可延伸超出位在基板支撐件128上之基板的邊緣。在其他實施例中,基板邊緣可延伸超出基板支撐件128及電極123之邊緣。在其他實施例中,電極123嵌入基板支撐件128中一深度,以致電極123之平坦部分204及基板支撐件128之表面之間的距離介於約5至10 mm之間。在某些實施例中,可配置角度部分205,使得角度部分205離平坦部分204最遠的末端比平坦部分204更加遠離基板支撐件128表面約25%至約50%。在其他實施例中,基板支撐件128延伸超出電極123邊緣之部分的寬度可介於約1 mm至約3 mm間。
在其他實施例中,部分205為一邊緣部分,且部分204為電極123之中心部分。部分205可相對於該部分204而升高或降低,使得部分204及205定義出實質上平行的平面,但部分205可更接近或更遠離基板支撐件128之表面。在某些實施例中,部分205可偏離部分204約0.5 mm至約2 mm。可具有一傾斜部分,用以連接部分204及205,該傾斜部分可與部分204及205形成角度,或與部分204及205形成彎曲接合。
此外,不管部分205是否相對於部分204形成角度,部分205的厚度可大於或小於部分204之厚度。部分205之厚度可偏離部分204高達約0.5 mm,以致部分205比部分204要薄0.5 mm,或部分205比部分204要厚0.5 mm。部分204或205之任一者的厚度亦可逐漸變小。舉例來說,部分205在其連接部分204處可厚達約3 mm,並可逐漸變薄至其邊緣處約0.5 mm或更小的厚度。部分205亦可能與一造形邊緣相配合,例如,具有造形剖面的珠件,例如附接至部分205邊緣的圓形珠。珠件之剖面可具有任何有利形狀,例如,三角形、正方形或梯形。
第3圖為根據另一實施例之電漿處理腔室的概略側視圖。在此實施例中,腔室300之特徵在於分區噴頭組件360。噴頭組件360之面板146係以電絕緣體370分隔成多個不連續的導電區域。在一實施例中,在控制器175之控制下,由獨立的射頻源165及330分別透過各自的匹配網路173及340個別施加射頻功率至各區域。在另 一實施例中,使用一單一射頻源提供功率給各區域,或一同供應給所有區域。係如上述般,使用直流偏壓源(全體以元件350來代表)施加一電壓偏壓至電極123;元件350可如上述般包含濾波器(例如,濾波器186)及放大器(例如放大器184),並藉由一連接器耦合至電極123。分區噴頭組件360耦合至獨立的射頻源165及330,以允許不同的功率位準透過獨立的阻抗匹配網路173及340施加至該些區域,以調整處理容積120內的電場以控制電漿103的空間分佈。
第4圖為根據本發明另一實施例之電漿處理腔室的概略側視圖。在此實施例中,腔室400利用嵌入腔室側壁112中之電極410。腔室壁電極410是以適當的導電材料(例如,鋁)製成,並利用絕緣體320使其與側壁112絕緣開來,及利用絕緣體105使其與腔室蓋104絕緣。各絕緣體可以任何適當的絕緣材料製成,但較佳使用以與腔室壁材料具有類似熱特性的材料製成。其中一種此類材料為陶瓷。在此實施例中,如上文所述般,使用參照第2A圖所述之直流源、放大器及濾波器(全體以直流元件350代表)藉由一連接器耦合至電極123,以施加一電壓偏壓至電極123。類似的偏壓產生器420可耦合至腔室壁電極410。控制器175可用於控制施加至面板146的射頻功率、施加至電極123的偏壓功率,以及施加至腔室壁電極410的偏壓功率,以確保電漿103足以覆蓋基板121。
第5圖為根據本發明另一實施例之電漿處理腔室500的概略側視圖。在此實施例中,腔室壁電極410未與側壁112絕緣,所以電漿103可直接與腔室壁和電極123耦合,以致腔室壁電極410、側壁112及電極123共同做為接地平面。施加至腔室壁電極410的直流偏壓因而施加至整個腔室壁,導致電漿103朝處理容積120之邊緣散佈並覆蓋基板121。絕緣體520係設置用以防止側壁112放電,且絕緣體105使蓋組件148與腔室的其餘部分絕緣。
第6圖為根據本發明另一實施例之電漿處理腔室600的概略側視圖。在此實施例中,兩電極623A及623B嵌入基板支撐件128內部。如前文所述,當施加直流電壓偏壓以在適當位置夾鉗基板121時,各電極作為射頻功率之接地平面。分別以直流偏壓產生器610及620個別偏壓各電極。如前文所述,如有需要,各直流偏壓產生器包含具有放大器及濾波器之直流源。獨立調整接地平面之能力提供塑造處理容積120內之電場形狀的能力,以控制電漿103之空間分佈,從而最小化或消除電漿邊緣效應。
上述實施例以淺顯易懂的方式示範包含本發明元件的範例。上述元件之任何組合可用於調整及塑造處理容積120內之電漿103形狀而使電漿在無邊緣效應的情況下完全覆蓋基板121。可使用多個電極、造形或未造形之接地構件、偏壓產生器、絕緣體及其類似元件之任何組 合。舉例來說,可使用多個造形接地構件或單一個具有一側壁電極的造形接地構件。分區噴頭電極亦可與一或多個造形接地構件及一或多個側壁電極併用。
在操作中,將一基板配置在根據上述之任何實施例之電漿處理腔室內的一基板支撐件上。製程氣體透過包含第一電極的噴頭組件供應給該處理腔室。透過一阻抗匹配網路將一射頻產生器耦合至該第一電極而施加射頻功率至該第一電極。該射頻產生器可產生高頻功率,例如約13.56 MHz,或低頻功率,例如約300 kHz。施加射頻功率至該第一電極在該處理腔室內產生一振盪電場(oscillating electric field),並離子化該製程氣體使之成為電漿。
該基板放置在基板支撐件上,該基板支撐件中嵌入具有一接地構件。該接地構件作為用於將直流功率耦合至該基板支撐件的電極,並與該第一電極一同在該處理腔室中定義一處理容積。使用貫穿該基板支撐件之連接器將直流功率耦合至該電極。直流功率施加至該電極,並在該電極中產生一電壓偏壓,其導致該基板支撐件牢固地夾鉗該基板。一電子濾波器可設置在該直流功率源及位於該基板支撐件中的該電極之間,使得該電極在施加一直流電壓偏壓至該基板時可作為射頻功率之接地路徑。以此方式,位於該基板支撐件中之該電極可作為射頻功率的接地構件。可使用一控制器來調整該匹配網路之阻抗,而調整傳送至該電漿之功率。該控制器亦可用 於調整該直流源之功率輸出,以調整該處理腔室內之電場。以此方式,可產生具有徑向和軸向分量之電場,且允許調整電漿之空間分佈為朝向或遠離該腔室中心,以完全覆蓋該基板。
在此實施例中,可塑造該接地構件的形狀,以產生所需的電場性質。舉例來說,該接地構件可具有實質上與該基板支撐件表面平行第一部分,以及具有從該第一部分逐漸變小的第二部分。該第一部分定義出一平面,且該第二部分定義出與該平面相交的一表面。因此,一造形接地構件可定義出複數個相交表面。
在一替代實施例中,可設置多個接地構件。舉例來說,具有與該第一接地構件不同形狀的第二接地構件可嵌入該基板支撐件內。一控制器可個別調整施加至各接地構件的偏壓以產生所需的電漿空間分佈。
在另一實施例中,一分區噴頭電極可用於產生一可調整電場。射頻功率可透過不同的匹配網路獨立提供給不同區域。一控制器可藉由調整該匹配網路之阻抗,而調整提供給各區域之功率。如上文所討論般,一直流電壓偏壓施加至嵌入該基板支撐件中之電極,該基板支撐件夾鉗該基板且提供用於射頻功率之接地路徑。在此實施例中,調整輸送至該噴頭之不同區域的功率,將導致具有徑向和軸向分量之電場,並允許控制電漿空間分佈。
在一替代實施例中,可藉由在該處理腔室之側壁中設置一電極而徑向調整電場及電漿。在某些實施例中,該 腔室壁自身可用作該電極。除了嵌入該基板支撐件中之該電極外,該電極可接地或偏壓。一控制器可用於獨立調整該基板支撐件電極與該側壁電極之偏壓以及調整輸送至該噴頭電極之功率,以調整電漿空間分佈。
雖然前文說明本發明數個實施例,但可在不偏離本發明基本範圍之情況下做出本發明之其他及進一步的實施例,且本發明範圍係由後附申請專利範圍決定。
D1 、D2 ‧‧‧尺寸
D3 ‧‧‧高度
1‧‧‧基板
5‧‧‧結構
10‧‧‧層
15、20‧‧‧區域
25‧‧‧所需沈積區域
100‧‧‧PECVD系統
102‧‧‧腔室主體
103‧‧‧電漿
104‧‧‧腔室蓋
105‧‧‧絕緣體
108‧‧‧氣體分配系統
112‧‧‧側壁
116‧‧‧底部壁
120‧‧‧處理容積
121‧‧‧基板
122‧‧‧桿
123‧‧‧電極
125‧‧‧幫浦槽道
126‧‧‧加熱元件
127‧‧‧腔室內襯件
128‧‧‧基板支撐件
131‧‧‧排氣埠
140‧‧‧氣體入口通道
142‧‧‧噴頭組件
145‧‧‧冷卻入口
146‧‧‧面板
147‧‧‧冷卻槽道
148‧‧‧蓋組件
149‧‧‧冷卻劑出口
150‧‧‧液體輸送源
161‧‧‧舉升銷
163‧‧‧氣體入口
164‧‧‧幫浦系統
165‧‧‧射頻功率源
168、169‧‧‧氣體入口
172‧‧‧氣源
173‧‧‧阻抗匹配電路/網路
175‧‧‧控制器
176‧‧‧直流功率源
180‧‧‧導電構件
182‧‧‧功率供應系統
184‧‧‧放大器
186‧‧‧電子濾波器
188‧‧‧電感
190、192‧‧‧電容
194、196‧‧‧連接器
204、205‧‧‧部分
300‧‧‧腔室
320、520‧‧‧絕緣體
330‧‧‧射頻功率源
340‧‧‧阻抗匹配網路
350‧‧‧元件
360‧‧‧噴頭組件
370‧‧‧電絕緣體
400‧‧‧腔室
410‧‧‧電極
420‧‧‧偏壓產生器
500、600‧‧‧電漿處理腔室
610、620‧‧‧直流偏壓產生器
623A、623B‧‧‧電極
為了詳細了解本發明之上述特徵,可參考多個實施例來閱讀概要整理如上的本發明之更具體敘述,部分實施例繪示於附圖中。不過,須注意附圖僅說明本發明之典型實施例,因此不應視為對本發明範圍之限制,因為本發明可容許其他等效的實施例。
第1A圖(先前技術)為根據先前技術製程處理之基板的剖面圖。
第1B圖(先前技術)為第1A圖之基板的細部圖。
第2A圖為根據本發明一實施例之電漿處理腔室的概略剖面圖。
第2B圖為第2A圖之電漿處理腔室的概略側視圖。
第3圖為根據本發明電漿處理腔室之另一實施例的概略側視圖。
第4圖為根據本發明電漿處理腔室之另一實施例的概略側視圖。
第5圖為根據本發明之電漿處理腔室之另一實施例的概略側視圖。
第6圖為根據本發明電漿處理腔室之另一實施例的概略側視圖。
欲幫助了解,已盡可能地使用相同的元件符號來標明圖中共用之相同元件。吾人亦預期在一實施例中揭示之元件可在無需特別說明的情況下有利地用在其他實施例。
100‧‧‧PECVD系統
102‧‧‧腔室主體
103‧‧‧電漿
104‧‧‧腔室蓋
105‧‧‧絕緣體
108‧‧‧氣體分配系統
112‧‧‧側壁
116‧‧‧底部壁
120‧‧‧處理容積
121‧‧‧基板
122‧‧‧桿
123‧‧‧電極
125‧‧‧幫浦槽道
126‧‧‧加熱元件
127‧‧‧腔室內襯件
128‧‧‧基板支撐件
131‧‧‧排氣埠
140‧‧‧氣體入口通道
142‧‧‧噴頭組件
145‧‧‧冷卻入口
146‧‧‧面板
147‧‧‧冷卻槽道
148‧‧‧蓋組件
149‧‧‧冷卻劑出口
150‧‧‧液體輸送源
161‧‧‧舉升銷
163、168、169‧‧‧氣體入口
164‧‧‧幫浦系統
165‧‧‧射頻功率源
172‧‧‧氣源
173‧‧‧阻抗匹配電路/網路
175‧‧‧控制器
176‧‧‧直流功率源
180‧‧‧導電構件
182‧‧‧功率供應系統
184‧‧‧放大器
186‧‧‧電子濾波器
188‧‧‧電感
190、192‧‧‧電容
194、196‧‧‧連接器
205‧‧‧部分

Claims (13)

  1. 一種用於處理一基板之設備,該設備包含:一腔室主體,該腔室主體包括一側壁及一底部壁,其中該腔室主體支撐一腔室蓋;一基板支撐件;一個或更多個電極,該一個或更多個電極耦合至該基板支撐件,其中該一個或更多個電極之至少其中一者在一平坦部分中形成,該平坦部分設置於一水平幾何平面中,且該一個或更多個電極之該至少其中一者於該平坦部分之一周圍處偏離該水平幾何平面;一噴頭組件,該噴頭組件具有一面板,該面板相對於該基板支撐件;及一個或更多個接地元件,該一個或更多個接地元件嵌入於該側壁中,其中該基板支撐件及該面板共同定義一處理容積,且該一個或更多個電極用於在該處理容積內產生一具有軸向及徑向分量之可調整電場。
  2. 如申請專利範圍第1項所述之設備,其中該一個或更多個電極配置在該基板支撐件內。
  3. 如申請專利範圍第1項所述之設備,更包含一個或更多個可調整電路,該一個或更多個可調整電路耦合至該一個或更多個接地元件之至少其中一者。
  4. 如申請專利範圍第3項所述之設備,其中該一個或更多個可調整電路進一步耦合至該一個或更多個電極之至少其中一者。
  5. 如申請專利範圍第1項所述之設備,更包含一直流功率源,該直流功率源耦合至該一個或更多個電極之至少其中一者。
  6. 如申請專利範圍第1項所述之設備,其中該面板以一個或更多個絕緣體劃分為多個分隔區域。
  7. 如申請專利範圍第6項所述之設備,其中該一個或更多個絕緣體配置在該一個或更多個接地元件之間。
  8. 如申請專利範圍第1項所述之設備,其中該一個或更多個接地元件之至少其中一者為一射頻篩網。
  9. 如申請專利範圍第1項所述之設備,其中該一個或更多個接地元件之其中另一者為該腔室主體的該底部壁。
  10. 一種用於在一處理腔室中支撐一基板之設備,該設備包含:一基板支撐,該基板支撐包含一支撐表面; 一熱控制元件,該熱控制元件配置在該基板支撐內;一電極,該電極配置在該基板支撐內,其中該電極具有一第一部分及一第二部分,該第一部分定義一第一幾何平面,該第二部分定義一角度表面,並且該角度表面與該第一幾何平面相交;及一調整器,該調整器用於功率調整且耦合至該電極。
  11. 如申請專利範圍第10項所述之設備,更包含一電子濾波器,該電子濾波器耦合至該電極。
  12. 如申請專利範圍第10項所述之設備,其中該基板支撐定義一第二幾何平面,且該第一幾何平面與該第二幾何平面實質平行。
  13. 如申請專利範圍第10項所述之設備,其中該電極為一射頻篩網。
TW098107031A 2008-03-20 2009-03-04 電漿室中的可調式接地平面 TWI508632B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/052,431 US20090236214A1 (en) 2008-03-20 2008-03-20 Tunable ground planes in plasma chambers

Publications (2)

Publication Number Publication Date
TW200952565A TW200952565A (en) 2009-12-16
TWI508632B true TWI508632B (zh) 2015-11-11

Family

ID=41087806

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098107031A TWI508632B (zh) 2008-03-20 2009-03-04 電漿室中的可調式接地平面

Country Status (7)

Country Link
US (3) US20090236214A1 (zh)
JP (2) JP2011519117A (zh)
KR (1) KR101546278B1 (zh)
CN (2) CN103594340A (zh)
SG (1) SG189685A1 (zh)
TW (1) TWI508632B (zh)
WO (1) WO2009117173A1 (zh)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US8778813B2 (en) * 2010-05-12 2014-07-15 Applied Materials, Inc. Confined process volume PECVD chamber
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US9728416B2 (en) 2011-09-30 2017-08-08 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
US9111727B2 (en) 2011-09-30 2015-08-18 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
US8808496B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Plasma tuning rods in microwave processing systems
US9396955B2 (en) 2011-09-30 2016-07-19 Tokyo Electron Limited Plasma tuning rods in microwave resonator processing systems
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9673069B2 (en) 2012-07-20 2017-06-06 Applied Materials, Inc. High frequency filter for improved RF bias signal stability
US20140049162A1 (en) * 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
CN104685608A (zh) * 2012-09-26 2015-06-03 应用材料公司 具有闭环控制的底部和侧边等离子体调节
US20160017494A1 (en) * 2013-03-15 2016-01-21 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning ring in a processing chamber
KR20200098737A (ko) 2013-03-15 2020-08-20 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 챔버에서 튜닝 전극을 사용하여 플라즈마 프로파일을 튜닝하기 위한 장치 및 방법
US10032608B2 (en) * 2013-03-27 2018-07-24 Applied Materials, Inc. Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
KR102038647B1 (ko) * 2013-06-21 2019-10-30 주식회사 원익아이피에스 기판 지지 장치 및 이를 구비하는 기판 처리 장치
KR102298032B1 (ko) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법
JP6574547B2 (ja) * 2013-12-12 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9355776B2 (en) * 2014-04-09 2016-05-31 Applied Materials, Inc. Capacitor assemblies for coupling radio frequency (RF) and direct current (DC) energy to one or more common electrodes
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US9941132B2 (en) * 2015-03-31 2018-04-10 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
KR20170024922A (ko) * 2015-08-26 2017-03-08 삼성전자주식회사 플라즈마 발생 장치
US10879041B2 (en) * 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US10631370B2 (en) * 2015-10-30 2020-04-21 Ngk Insulators, Ltd. Member for semiconductor manufacturing apparatus, method for producing the same, and heater including shaft
US10510625B2 (en) * 2015-11-17 2019-12-17 Lam Research Corporation Systems and methods for controlling plasma instability in semiconductor fabrication
KR20180094109A (ko) * 2016-01-07 2018-08-22 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템
KR102158668B1 (ko) * 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102159894B1 (ko) * 2016-11-30 2020-09-24 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치
US10435789B2 (en) * 2016-12-06 2019-10-08 Asm Ip Holding B.V. Substrate treatment apparatus
US20180323039A1 (en) * 2017-05-05 2018-11-08 Applied Materials, Inc. Active far edge plasma tunability
KR102269345B1 (ko) * 2017-07-25 2021-06-28 주식회사 원익아이피에스 정전척 전류제어 장치
CN117612918A (zh) * 2018-05-03 2024-02-27 应用材料公司 用于基座的rf接地配置
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN109037020A (zh) * 2018-07-26 2018-12-18 德淮半导体有限公司 等离子体装置及其工作方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20210080555A (ko) * 2018-11-21 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 위상 제어를 사용하여 플라즈마 분배를 조절하기 위한 디바이스 및 방법
JP7186393B2 (ja) * 2018-12-06 2022-12-09 東京エレクトロン株式会社 プラズマ処理装置
JP7117734B2 (ja) 2018-12-06 2022-08-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20220037117A1 (en) 2018-12-06 2022-02-03 Tokyo Electron Limited Shower plate, plasma processing apparatus and plasma processing method
JP7079947B2 (ja) * 2018-12-06 2022-06-03 東京エレクトロン株式会社 プラズマ処理装置
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
JP7447118B2 (ja) * 2018-12-17 2024-03-11 アプライド マテリアルズ インコーポレイテッド 光学装置製造のためのイオンビーム源
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11584993B2 (en) * 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
JP2023043720A (ja) * 2021-09-16 2023-03-29 キオクシア株式会社 基板処理装置、及び半導体装置の製造方法
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
FR3135731B1 (fr) * 2022-05-17 2024-04-12 Univ Grenoble Alpes Procédé de dépôt de couches atomiques assisté par plasma à couplage capacitif
FR3135732B1 (fr) * 2022-05-17 2024-04-12 Univ Grenoble Alpes Réacteur de dépôt assisté par plasma
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW511398B (en) * 2000-09-12 2002-11-21 Tokyo Electron Ltd Apparatus and method to control the uniformity of plasma by reducing radial loss
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
TW589675B (en) * 2000-03-06 2004-06-01 Hitachi Ltd Plasma treatment device and plasma treatment method
KR20050053076A (ko) * 2003-12-02 2005-06-08 주식회사 아이피에스 반도체 기판의 박막 제조장치
TW200520012A (en) * 2003-08-22 2005-06-16 Lam Res Corp Multiple frequency plasma etch reactor
TW200713389A (en) * 2005-06-13 2007-04-01 Lam Res Corp Improvement of etch rate uniformity using the independent movement of electrode pieces
US20070113785A1 (en) * 2005-11-23 2007-05-24 Celetech Semiconductor, Inc. Radio frequency grounding apparatus
TW200729272A (en) * 2006-01-27 2007-08-01 Advanced Micro Fab Equip Inc A plasma processing apparatus equipped with plasma confinement apparatus
TW200807552A (en) * 2006-03-22 2008-02-01 Tokyo Electron Ltd Plasma treatment device
TW200920192A (en) * 2007-10-22 2009-05-01 New Power Plasma Co Ltd Capacitively coupled plasma reactor

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692836A (en) * 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4585515A (en) 1985-03-11 1986-04-29 Rca Corporation Formation of conductive lines
JPH0196931A (ja) * 1987-10-09 1989-04-14 Mitsubishi Electric Corp プラズマエツチング装置
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH0637051A (ja) * 1992-07-15 1994-02-10 Tokyo Electron Ltd プラズマ装置
JPH0653176A (ja) * 1992-07-30 1994-02-25 Matsushita Electron Corp ドライエッチング装置
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5414324A (en) * 1993-05-28 1995-05-09 The University Of Tennessee Research Corporation One atmosphere, uniform glow discharge plasma
JP3257180B2 (ja) * 1993-09-21 2002-02-18 ソニー株式会社 成膜方法
JPH07169745A (ja) * 1993-12-16 1995-07-04 Sharp Corp 平行平板型ドライエッチング装置
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
JPH08306663A (ja) * 1995-04-28 1996-11-22 Sony Corp プラズマ装置及びこれを用いたプラズマ処理方法
TW473857B (en) * 1996-04-26 2002-01-21 Hitachi Ltd Method of manufacturing semiconductor device
US5737175A (en) * 1996-06-19 1998-04-07 Lam Research Corporation Bias-tracking D.C. power circuit for an electrostatic chuck
JP3650248B2 (ja) * 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JPH10321604A (ja) * 1997-05-22 1998-12-04 Nec Kyushu Ltd プラズマ処理装置
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
JP4136137B2 (ja) 1998-11-26 2008-08-20 東京エレクトロン株式会社 プラズマ処理装置
JP2000306891A (ja) * 1999-04-22 2000-11-02 Hitachi Ltd プラズマ処理装置
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6395095B1 (en) * 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6488820B1 (en) * 1999-08-23 2002-12-03 Applied Materials, Inc. Method and apparatus for reducing migration of conductive material on a component
JP2001096931A (ja) 1999-09-28 2001-04-10 Alps Electric Co Ltd 印刷方法および印刷用紙
KR100554426B1 (ko) * 2000-05-12 2006-02-22 동경 엘렉트론 주식회사 플라즈마 처리시스템에서의 전극의 두께 조정방법
JP2002241945A (ja) * 2001-02-20 2002-08-28 Nissin Electric Co Ltd 薄膜形成装置
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
JP2002317267A (ja) * 2001-04-17 2002-10-31 Nec Kagoshima Ltd 薄膜製造方法
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
US20050016465A1 (en) * 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
JP4418193B2 (ja) * 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
JP4584572B2 (ja) * 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
JP2006339391A (ja) * 2005-06-02 2006-12-14 Matsushita Electric Ind Co Ltd ドライエッチング装置
JP4804824B2 (ja) * 2005-07-27 2011-11-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
US20070215284A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma processing apparatus and electrode assembly for plasma processing apparatus
JP4615464B2 (ja) * 2006-03-16 2011-01-19 東京エレクトロン株式会社 プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
US20070227663A1 (en) * 2006-03-28 2007-10-04 Tokyo Electron Limited Substrate processing apparatus and side wall component
US8418892B2 (en) 2006-08-17 2013-04-16 Coster Tecnologie Speciali S.P.A. Lockable spray cap
US20080084650A1 (en) * 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
KR101250356B1 (ko) 2006-11-08 2013-04-05 주식회사 원익아이피에스 반도체 제조 장치
US20080142481A1 (en) * 2006-12-18 2008-06-19 White John M In-situ particle collector
JP4838736B2 (ja) * 2007-01-25 2011-12-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5029089B2 (ja) * 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5458050B2 (ja) * 2011-03-30 2014-04-02 日本碍子株式会社 静電チャックの製法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW589675B (en) * 2000-03-06 2004-06-01 Hitachi Ltd Plasma treatment device and plasma treatment method
TW511398B (en) * 2000-09-12 2002-11-21 Tokyo Electron Ltd Apparatus and method to control the uniformity of plasma by reducing radial loss
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
TW200520012A (en) * 2003-08-22 2005-06-16 Lam Res Corp Multiple frequency plasma etch reactor
KR20050053076A (ko) * 2003-12-02 2005-06-08 주식회사 아이피에스 반도체 기판의 박막 제조장치
TW200713389A (en) * 2005-06-13 2007-04-01 Lam Res Corp Improvement of etch rate uniformity using the independent movement of electrode pieces
US20070113785A1 (en) * 2005-11-23 2007-05-24 Celetech Semiconductor, Inc. Radio frequency grounding apparatus
TW200729272A (en) * 2006-01-27 2007-08-01 Advanced Micro Fab Equip Inc A plasma processing apparatus equipped with plasma confinement apparatus
TW200807552A (en) * 2006-03-22 2008-02-01 Tokyo Electron Ltd Plasma treatment device
TW200920192A (en) * 2007-10-22 2009-05-01 New Power Plasma Co Ltd Capacitively coupled plasma reactor

Also Published As

Publication number Publication date
CN101978474A (zh) 2011-02-16
US20180073142A9 (en) 2018-03-15
KR20100126510A (ko) 2010-12-01
KR101546278B1 (ko) 2015-08-21
TW200952565A (en) 2009-12-16
US20120205046A1 (en) 2012-08-16
JP2011519117A (ja) 2011-06-30
US20090236214A1 (en) 2009-09-24
JP2014053309A (ja) 2014-03-20
CN101978474B (zh) 2013-11-13
WO2009117173A1 (en) 2009-09-24
CN103594340A (zh) 2014-02-19
SG189685A1 (en) 2013-05-31
US20160145742A1 (en) 2016-05-26
WO2009117173A4 (en) 2009-11-12
US10774423B2 (en) 2020-09-15

Similar Documents

Publication Publication Date Title
TWI508632B (zh) 電漿室中的可調式接地平面
CN206758401U (zh) 控制电容耦合等离子体工艺设备的边缘环的射频振幅
US20190221463A1 (en) Process kit components for use with an extended and independent rf powered cathode substrate for extreme edge tunability
CN106449503B (zh) 陶瓷加热器和具有增强的晶片边缘性能的esc
KR100743875B1 (ko) 전극 조립체
US8607731B2 (en) Cathode with inner and outer electrodes at different heights
US8721833B2 (en) Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof
TWI480948B (zh) 用於電漿處理室之選擇性預塗佈的方法及設備
KR100803253B1 (ko) 결합 전극을 구비한 플라즈마 챔버 지지 부재
JP2016506592A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
US20200058539A1 (en) Coating material for processing chambers
JP6807777B2 (ja) プラズマ処理装置
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
CN117178349A (zh) 等离子体处理装置和基片处理方法