CN101978474B - 等离子体室中的可调式接地平面 - Google Patents

等离子体室中的可调式接地平面 Download PDF

Info

Publication number
CN101978474B
CN101978474B CN2009801098201A CN200980109820A CN101978474B CN 101978474 B CN101978474 B CN 101978474B CN 2009801098201 A CN2009801098201 A CN 2009801098201A CN 200980109820 A CN200980109820 A CN 200980109820A CN 101978474 B CN101978474 B CN 101978474B
Authority
CN
China
Prior art keywords
electrode
equipment
substrate support
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009801098201A
Other languages
English (en)
Other versions
CN101978474A (zh
Inventor
卡希克·贾纳基拉曼
托马斯·诺瓦克
胡安·卡洛斯·罗奇-阿尔维斯
马克·A·福多尔
戴尔·R·杜波依斯
阿米特·班塞尔
穆罕默德·阿尤布
埃勒·Y·朱科
维斯韦斯瓦伦·西瓦拉玛克里施南
希姆·M·萨德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101978474A publication Critical patent/CN101978474A/zh
Application granted granted Critical
Publication of CN101978474B publication Critical patent/CN101978474B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种设备及方法,用于控制等离子体腔室中的等离子体放电的强度及分布。在一实施例中,成形电极嵌入基板支撑件中,以在所述腔室内提供具有径向及轴向分量的电场。在另一实施例中,用绝缘体将喷头组件的面板电极划分为多个区域,而能将不同电压施加至不同区域。此外,一或更多个电极可嵌入所述腔室侧壁中。

Description

等离子体室中的可调式接地平面
技术领域
本发明的实施例大体上涉及用于沉积或移除基板上的材料的设备及方法。更具体地,本发明的实施例涉及在等离子体腔室中用于控制等离子体放电的强度及/或分布的设备及方法。
背景技术
等离子体增强工艺,例如,等离子体增强化学气相沉积(PECVD)工艺、高密度等离子体化学气相沉积(HDPCVD)工艺、等离子体浸没离子注入工艺及等离子体蚀刻工艺,已成为用于在基板上沉积材料及/或从基板上移除材料以形成结构的常见工艺。
在制造半导体装置上,等离子体提供许多优点。举例来说,由于能降低处理温度、增强对高深宽比间隙的间隙填充以及较高的沉积速度,使得等离子体能用于广域的应用。
在现有的等离子体处理系统中所存在的挑战在于控制等离子体以达到均匀的蚀刻及沉积。蚀刻速度及沉积均匀性的其中一个关键因素为处理期间的等离子体的空间分布情形。举例来说,在典型为平行板反应器的现有PECVD腔室中,影响等离子体空间分布的传统因素为腔室压力、电极间的距离及化学性质等等。虽然在PECVD腔室中现有等离子体分布控制产生令人满意的结果,但工艺可更加改善。在等离子体处理中存在的一个挑战是在基板上形成薄膜时大量材料(例如,导电材料、介电材料或半导电材料)的不均匀性或不均匀沉积。
图1A(现有技术)为基板1的横剖面图,说明在现有等离子体腔室中至少一部分是由不均匀性所导致的问题。基板1包含多个结构5,结构5可以是形成在基板中的沟槽、通孔及类似结构。以现有等离子体工艺在基板上形成导电、介电或半导电材料层10以基本覆盖基板1并填充结构5。基板1具有尺寸D1,若为矩形基板,尺寸D1可为长度或宽度,若为圆形基板,尺寸D1可为外径。在此范例中,基板1为圆形基板,且尺寸D1为外径,D1可等于约300mm或200mm。
如上文所述,层10基本覆盖基板1,但实际上仅达到尺寸D2,使得基板1的周边部分上具有少量材料或没有材料。在一范例中,如果尺寸D1为300mm,则尺寸D2可为约298mm,而在围绕基板1的周边处产生约1mm且上方具有少量材料或没有材料的部分,且由于基板1的周边实际上无法使用因而降低基板1上的装置产量。这类缺陷有时候称为边缘效应或等离子体边缘效应。
图1B(现有技术)为图1A的基板1的放大剖面图,显示基板1周边上的表面区域20以说明在现有等离子体腔室中至少一部分是由不均匀性所导致的另一项问题。由于上述的装置产量降低,边缘区域25显示为无覆盖。此外,现有的等离子体工艺可沿基板周边产生区域15,区域15可能是发生过度沉积及材料堆积的区域。在后续工艺中,基板1可能经历化学机械研磨(CMP)工序或其它平坦化或研磨工序,以移除层10的一部分。在后续工序中,由于区域15必须与层10一起移除,因此区域15可能形成问题。由于区域15在层10的表面区域20之上的高度D3介于数百埃
Figure GSB00000859621500021
至数千埃
Figure GSB00000859621500022
之间,使得在后续工艺中可能对产量造成负面影响。此外,移除区域15可能导致过度研磨表面区域20,而可能导致形成在基板1上的装置或结构受损。
因此,需要有一种在等离子体腔室中增强对等离子体空间分布控制的设备及方法,以解决上述问题。
发明内容
此处所述的实施例大体上提供在等离子体腔室中使用辅助接地平面(secondary ground plane)来控制等离子体空间分布的方法及设备。
一实施例提供一种用于处理基板的设备,所述设备包含:基板支撑件,耦合至所述基板支撑件的一或更多个电极,具有与所述基板支撑件相对的面板的喷头组件,以及一或更多个接地组件,所述接地组件与所述基板支撑件径向分离,其中所述基板支撑件及所述面板共同界定出处理空间,且所述一或更多个电极适于在所述处理空间内产生具有轴向及径向分量的可调电场。
另一实施例提供一种在处理腔室中用于支撑基板的设备,所述设备包含:支撑表面,配置在所述支撑表面内部的热控制组件,配置在所述支撑表面内部的电极,以及调整器(tuner)耦合至所述电极,其中所述电极具有界定第一平面的第一部分以及界定角度表面(angled surface)的第二部分,且所述角度表面与所述第一平面相交。
另一实施例提供一种控制电容耦合等离子体的空间分布的方法,所述方法包含:在处理腔室内设置第一电极;在所述处理腔室内设置第二电极并使所述第二电极面对所述第一电极以界定处理空间,其中所述第二电极具有第一部分和第二部分,所述第一部分界定第一平面,所述第二部分界定角度平面,并且所述角度平面与所述第一平面相交;及藉由施加射频功率至所述第一电极和施加直流功率至所述第二电极而在所述处理空间内产生具有轴向及径向分量的电场。
附图说明
为了详细了解本发明的上述特征,可参考多个实施例来阅读概要地整理如上的本发明的更具体叙述,部分实施例绘示于附图中。不过,须注意附图仅说明本发明的典型实施例,因此不应视为对本发明范围的限制,因为本发明可容许其它等效的实施例。
图1A(现有技术)为根据现有技术处理的基板的剖面图。
图1B(现有技术)为图1A的基板的细节图。
图2A为根据本发明一实施例的等离子体处理腔室的示意剖面图。
图2B为图2A的等离子体处理腔室的示意侧视图。
图3为根据本发明等离子体处理腔室的另一实施例的示意侧视图。
图4为根据本发明等离子体处理腔室的另一实施例的示意侧视图。
图5为根据本发明的等离子体处理腔室的另一实施例的示意侧视图。
图6为根据本发明等离子体处理腔室的另一实施例的示意侧视图。
为帮助了解,已尽可能地使用相同的标记符号来标明图中共享的相同组件。也预期在一实施例中揭示的组件可在无需特别说明的情况下有利地用在其它实施例。
具体实施方式
本发明大体上提供在具有平行电极的等离子体产生器的等离子体反应器中处理基板期间,用于控制等离子体空间分布的方法及设备。
图2A为等离子体增强化学气相沉积(PECVD)系统100的一实施例的示意剖面图。PECVD系统100大体上包含:腔室主体102,所述腔室主体102支撑腔室盖104,可以用一或更多个紧固件(例如,螺钉、螺栓、铰链及类似物)将腔室盖104附接至腔室主体102。腔室主体102包含:腔室侧壁112及底部壁116,以界定出在基板支撑件128及喷头组件142之间用于容纳等离子体103的处理空间120。控制器175耦合至系统100以提供工艺控制,例如,气体输送及排气、传送功能,以及其它功能。
腔室盖104耦合至气体分配系统108,以通过喷头组件142输送反应物及清洁气体至处理空间120中。喷头组件142包含气体入口通道140,所述气体入口通道140从一或更多个气体入口168、163及169输送气体至处理空间120中。远程等离子体源(未显示)可耦合在处理空间120和气体入口168、163及169之间。PECVD系统100也可包含液体输送源150及气源172,气源172配置用以提供载气及/或前驱物气体。形成在侧壁112中并耦合至泵浦系统164的圆周泵浦槽道125是配置用于将气体从处理空间120中排出及控制处理空间120内部的压力。腔室内衬件(liner)127,较佳由陶瓷或类似材料制成,可配置在处理空间120中以保护侧壁112免于遭受腐蚀性的处理环境。多个排气埠131可形成在腔室内衬件127上,以将处理空间120耦合至泵浦槽道125。
底板(base plate)148整合了腔室盖104、气体分配系统108及喷头组件142。冷却槽道147形成在底板148中,以在操作期间冷却底板148。冷却入口145输送冷却剂流体(例如水或类似物)至冷却槽道147中。冷却剂流体通过冷却剂出口149离开冷却槽道147。
基板支撑件128配置用于在处理期间支撑及保持基板121。基板支撑件128适于在处理空间120内部垂直移动,并可额外配置成能藉由耦合至杆122的驱动系统来转动基板支撑件128。举升销161可包含在基板支撑件128内,以帮助移送基板进出处理空间120。在一实施例中,基板支撑件128包含至少一电极123,电压施加至此电极123以将基板121以静电式固定在基板支撑件128上。电极123由连接至所述电极123的直流(DC)电源176提供动力。虽然图中将基板支撑件128表示成单极直流夹盘,但此处所述的实施例可用在任何适于在等离子体腔室中作为接地平面的基板支撑件,而且也可为双极夹盘、三极夹盘、直流夹盘、指叉型夹盘、分区夹盘及类似夹盘。
基板支撑件128可包含加热组件126,例如电阻加热组件,以将放置在基板支撑件128上的基板121加热至所需的工艺温度。加热组件126可耦合至交流(AC)功率供应器(未显示),该功率供应器提供电压(例如,约208伏特)给加热组件126。
射频(RF)功率源165通过阻抗匹配电路173耦合至喷头组件142。喷头组件142的面板146及电极123形成电容等离子体产生器,其中电极123可经由电子滤波器(例如电容190)而接地。射频源165提供射频能量给喷头组件142,以帮助在喷头组件142的面板146及基板支撑件128之间产生电容式等离子体(capacitive plasma)。因此,电极123提供用于射频源165的接地路径及来自直流功率源176的电偏压两者,而能以静电式夹钳基板121。
基板支撑件128大体上包含主体,所述主体由陶瓷材料,例如,氧化铝(Al2O3)、氮化铝(AlN)、二氧化硅(SiO2)、或其它陶瓷材料制成。在一实施例中,基板支撑件128的主体设计成可在约-20℃至约700℃的温度范围中使用。电极123可为筛网(mesh),例如射频筛网,或是穿孔板材料,所述穿孔板材料可由钼(Mo)、钨(W)或其它具有实质上与包含基板支撑件128主体的陶瓷材料的膨胀系数相类似的材料制成。嵌入基板支撑件128中的电极123与喷头组件142的面板146一起共同界定出处理空间120。
射频源165可包含高频射频(HFRF)功率源,例如13.56MHz的射频产生器,以及低频射频(LFRF)功率源,例如300kHz的射频产生器。LFRF功率源提供低频产生组件及固定匹配组件两者。HFRF功率源设计成与固定匹配(fixedmatch)并用,并且调节输送至负载的功率,同时消除顺向及反射功率方面的疑虑。
电极123耦合至导电构件180。导电构件180可为杆、管、电线或类似物,并由导电材料制成,例如钼(Mo)、钨(W)或其它具有实质上与包含基板支撑件128在内的其它材料的膨胀系数相类似的材料制成。电极123作为射频功率的返回路径及偏压电极,以能够以静电式夹钳基板。为了提供电偏压给基板121,电极123与供应偏置电压给电极123的功率供应系统182通讯。功率供应系统182包含直流功率源176以供应直流信号给电极123,以及包含电子滤波器186用于过滤直流功率源176及电极123间的电压波动。在一实施例中,直流功率源176为24伏特的直流功率供应器,且电信号可提供正或负偏压。
直流功率源176可耦合至放大器184,以放大来自直流功率源176的电信号。利用电子滤波器186过滤电压波动,以防止直流功率源176及放大器184遭受电压突波。在一实施例中,滤波器186可为具有并联电容190及192的电感(inductor)188。经过放大及过滤的电信号提供给电极123及基板121,以能够以静电式夹钳基板121。电容190及192也允许电极123作为射频功率的接地构件,其中射频功率藉由连接器194及196而接地。当传递射频功率时,电容190及192防止来自直流功率源176的直流功率传递至地。在一实施例中,在10至15安培及约2000伏特(volts)下,电容190及192可各为0.054微法(μF)的电容。以此方式,电极123可作为基板偏压电极以及射频功率的返回电极。
如上文所述,电极123提供来自直流功率源176的偏压,并作为来自射频功率源165的射频能量的接地路径。可根据来自控制器175的信号,利用匹配电路173来调整处理空间120中所产生的电容耦合等离子体103。然而,在电极123作为射频能量的接地平面的配置中,可能无法提供可接受的等离子体放电或空间分布。举例来说,基板121的周围(periphery)可能仅受到间歇等离子体放电,放电导致在周围处不完全或较少的沉积。在参照图1A及1B的另一范例中,等离子体103的周围可沿基板周围产生区域15,区域15可能是在基板121上发生过度沉积及沉积材料堆积的区域。
在图2A所示的实施例中,可制造电极123的形状,以消除参照图1A及1B所述的等离子体边缘效应。如在此实施例中所示,使电极123的周围具有角度(angling),将导致在处理空间120内产生具有径向和轴向分量的电场。在电极123的不同点处,电极123及面板146之间的电位差也不相同。这些电位差产生静电力,其中电场的轴向分量将带电粒子从面板146推向电极123,而电场的径向分量使带电粒子更接近或更远离腔室中心。此外,可根据来自控制器175的信号通过调整供应至电极的直流功率,而对电极123进行调整。以此方式,用于等离子体产生器的接地平面(在此实施例中以电极123作为示范)为可调整并能缓解等离子体边缘效应。
图2B为图2A的等离子体处理腔室的另一示意侧视图,更清楚地显示位于基板支撑件128内部的电极123。通过面板146,藉由电容耦合提供给处理空间120的处理气体,而使电场产生等离子体103。在此实施例中,电极123的特征在于平坦部分204及角度部分205。电极123的平坦部分204包含界定出平面的第一部分,且角度部分205包含界定出表面的第二部分。基板支撑件128界定第二平面。在此实施例中,由平坦部分204界定的第一平面及由基板支撑件128界定的第二平面实质上平行,而第一平面与角度部分205所界定的表面相交。以此方式,电极123呈现三维结构,而产生具有径向及轴向分量的电场。电极123的角度部分205会以散布等离子体103使之更完全覆盖基板支撑件128上的基板121的方式来弯曲处理空间120内的电场线。
如图2B所示,对于特征为具有角度边缘的电极123的实施例来说,角度部分205在剖面上将与平坦部分204形成一角度,所述角度较佳介于约90°至约170°之间,例如,约135°。在图2B所示的实施例中,电极123的角度部分205因而与平坦部分204形成钝角,并远离基板支撑件128的表面弯折。在其它实施例中,角度部分205可朝向基板支撑件128的表面弯折,或可朝向或远离基板支撑件128的表面弯曲。在一些实施例中,电极123的边缘可延伸超出设置在基板支撑件128上的基板的边缘。在其它实施例中,基板边缘可延伸超出基板支撑件128及电极123的边缘。在其它实施例中,电极123嵌入基板支撑件128中的深度使得电极123的平坦部分204及基板支撑件128的表面之间的距离介于约5至10mm之间。在一些实施例中,可配置角度部分205,使得角度部分205离平坦部分204最远的末端比平坦部分204更加远离基板支撑件128表面约25%至约50%。在其它实施例中,基板支撑件128延伸超出电极123边缘的部分的宽度可介于约1mm至约3mm间。
在其它实施例中,部分205为边缘部分,且部分204为电极123的中心部分。部分205可相对于该部分204而升高或降低,使得部分204及205界定出实质上平行的平面,但部分205可更接近或更远离基板支撑件128的表面。在一些实施例中,部分205可偏离部分204约0.5mm至约2mm。可具有一倾斜部分,用以连接部分204及205,该倾斜部分可与部分204及205形成角度,或与部分204及205形成弯曲接合。
此外,不管部分205是否相对于部分204形成角度,部分205的厚度可大于或小于部分204的厚度。部分205的厚度可从部分204的厚度偏离高达约0.5mm,以致部分205比部分204要薄高达0.5mm,或部分205比部分204要厚高达0.5mm。部分204或205的任一者的厚度也可逐渐变小。举例来说,部分205在连接部分204处可厚达约3mm,并可逐渐变薄至边缘处约0.5mm或更小的厚度。部分205也可能与一造形边缘相配合,例如,具有造形剖面的珠件,例如附接至部分205的边缘的圆形珠。珠件的剖面可具有任何有利形状,例如,三角形、正方形或梯形。
图3为根据另一实施例的等离子体处理腔室的示意侧视图。在此实施例中,腔室300的特征在于分区喷头组件360。喷头组件360的面板146被电绝缘体370分隔成多个不连续的导电区域。在一实施例中,在控制器175的控制下,由独立的射频源165及330分别通过各自的匹配网络173及340分别施加射频功率至各区域。在另一实施例中,使用单一射频源提供功率给各区域,或一同供应给所有区域。如上述,使用直流偏压源(全体以组件350来代表)施加电压偏压至电极123;组件350可如上述那样包含滤波器(例如,滤波器186)及放大器(例如放大器184),并藉由连接器耦合至电极123。分区喷头组件360耦合至独立的射频源165及330,以允许不同的功率电平通过独立的阻抗匹配网络173及340施加至该些区域,以调整处理空间120内的电场以控制等离子体103的空间分布。
图4为根据本发明另一实施例的等离子体处理腔室的示意侧视图。在此实施例中,腔室400利用嵌入腔室侧壁112中的电极410。腔室壁电极410是以适当的导电材料(例如,铝)制成,并利用绝缘体320使腔室壁电极410与侧壁112绝缘开来,及利用绝缘体105使腔室壁电极410与腔室盖104绝缘。各绝缘体可以任何适当的绝缘材料制成,但较佳使用以与腔室壁材料具有类似热特性的材料制成。其中一种此类材料为陶瓷。在此实施例中,如上文所述般,使用参照图2A所述的直流源、放大器及滤波器(全体以直流组件350代表)藉由连接器耦合至电极123,以施加电压偏压至电极123。类似的偏压产生器420可耦合至腔室壁电极410。控制器175可用于控制施加至面板146的射频功率、施加至电极123的偏压功率,以及施加至腔室壁电极410的偏压功率,以确保等离子体103足以覆盖基板121。
图5为根据本发明另一实施例的等离子体处理腔室500的示意侧视图。在此实施例中,腔室壁电极410未与侧壁112绝缘,所以等离子体103可直接与腔室壁和电极123耦合,以致腔室壁电极410、侧壁112及电极123共同做为接地平面。施加至腔室壁电极410的直流偏压因而施加至整个腔室壁,导致等离子体103朝处理空间120的边缘散布并覆盖基板121。绝缘体520设置用以防止侧壁112放电,且绝缘体105使盖组件148与腔室的其余部分绝缘。
图6为根据本发明另一实施例的等离子体处理腔室600的示意侧视图。在此实施例中,两电极623A及623B嵌入基板支撑件128内部。如前文所述,当施加直流电压偏压以在适当位置夹钳基板121时,各电极作为射频功率的接地平面。分别以直流偏压产生器610及620个别地偏压各电极。如前文所述,如有需要,各直流偏压产生器包含具有放大器及滤波器的直流源。独立调整接地平面的能力提供塑造处理空间120内的电场形状的能力,以控制等离子体103的空间分布,从而最小化或消除等离子体边缘效应。
上述实施例以浅显易懂的方式示范包含本发明组件的范例。上述组件的任何组合可用于调整及塑造处理空间120内的等离子体103形状而使等离子体在无边缘效应的情况下完全覆盖基板121。可使用多个电极、造形或未造形的接地构件、偏压产生器、绝缘体及它们的类似组件的任何组合。举例来说,可使用多个造形接地构件或单个具有侧壁电极的造形接地构件。分区喷头电极也可与一或更多个造形接地构件及一或更多个侧壁电极并用。
在操作中,将一基板配置在根据上述的任何实施例的等离子体处理腔室内的基板支撑件上。处理气体通过包含第一电极的喷头组件供应给该处理腔室。通过阻抗匹配网络将射频产生器耦合至该第一电极而施加射频功率至该第一电极。该射频产生器可产生高频功率,例如约13.56MHz,或低频功率,例如约300kHz。施加射频功率至该第一电极在该处理腔室内产生振荡电场(oscillating electric field),并离子化该处理气体使之成为等离子体。
该基板放置在基板支撑件上,该基板支撑件中嵌入具有接地构件。该接地构件作为用于将直流功率耦合至该基板支撑件的电极,并与该第一电极一同在该处理腔室中界定处理空间。使用贯穿该基板支撑件的连接器将直流功率耦合至该电极。直流功率施加至该电极,在该电极中产生电压偏压,电压偏压导致该基板支撑件牢固地夹钳该基板。电子滤波器可设置在该直流功率源及位于该基板支撑件中的该电极之间,使得该电极在施加直流电压偏压至该基板时可作为射频功率的接地路径。以此方式,位于该基板支撑件中的该电极可作为射频功率的接地构件。可使用控制器来调整该匹配网络的阻抗,以调整传送至该等离子体的功率。该控制器也可用于调整该直流源的功率输出,以调整该处理腔室内的电场。以此方式,可产生具有径向和轴向分量的电场,且允许调整等离子体的空间分布为朝向或远离该腔室中心,以完全覆盖该基板。
在此实施例中,可塑造该接地构件的形状,以产生所需的电场性质。举例来说,该接地构件可具有实质上与该基板支撑件表面平行的第一部分,以及具有从该第一部分逐渐变小的第二部分。该第一部分界定出平面,且该第二部分界定出与该平面相交的表面。因此,造形接地构件可界定出多个相交表面。
在替代实施例中,可设置多个接地构件。举例来说,具有与该第一接地构件不同形状的第二接地构件可嵌入该基板支撑件内。控制器可个别地调整施加至各接地构件的偏压以产生所需的等离子体空间分布。
在另外实施例中,分区喷头电极可用于产生可调整电场。射频功率可通过不同的匹配网络独立地提供给不同区域。控制器可藉由调整该匹配网络的阻抗,而调整提供给各区域的功率。如上文所讨论的那样,直流电压偏压施加至嵌入该基板支撑件中的电极,使得该基板支撑件夹钳该基板且提供用于射频功率的接地路径。在此实施例中,调整输送至该喷头的不同区域的功率导致具有径向和轴向分量的电场,并允许控制等离子体空间分布。
在替代实施例中,可藉由在该处理腔室的侧壁中设置电极而径向调整电场及等离子体。在一些实施例中,该腔室壁自身可用作该电极。除了嵌入该基板支撑件中的该电极外,该电极可接地或偏压。控制器可用于独立地调整该基板支撑件电极的偏压、该侧壁电极的偏压,以及调整输送至该喷头电极的功率,以调整等离子体空间分布。
虽然前文说明本发明多个实施例,但可在不偏离本发明基本范围的情况下做出本发明的其它及进一步的实施例,且本发明范围由后附权利要求书决定。

Claims (15)

1.一种用于处理基板的设备,包含:
基板支撑件;
一或更多个电极,所述一或更多个电极耦合至所述基板支撑件;
喷头组件,所述喷头组件具有面板,所述面板相对于所述基板支撑件;
侧壁;及
一或更多个接地组件,所述一或更多个接地组件与所述基板支撑件径向分离,其中所述基板支撑件、所述侧壁及所述面板共同界定处理空间,且所述一或更多个电极用于在所述处理空间内产生具有轴向及径向分量的可调整电场。
2.如权利要求1所述的设备,其中所述一或更多个电极配置在所述基板支撑件内。
3.如权利要求1所述的设备,其中所述一或更多个电极的至少其中一个的一部分具有角度。
4.如权利要求1所述的设备,还包含耦合至所述一或更多个接地组件的至少其中一个的一或更多个可调整电路。
5.如权利要求4所述的设备,还包含耦合至所述一或更多个电极的至少其中一个的一或更多个可调整电路。
6.如权利要求1所述的设备,其中所述面板被一或更多个绝缘体划分为多个分隔区域。
7.如权利要求6所述的设备,还包含多个绝缘体,所述多个绝缘体配置在所述一或更多个接地组件之间。
8.一种用于在处理腔室中支撑基板的设备,包含:
支撑表面;
热控制组件,配置在所述支撑表面内;
电极,配置在所述支撑表面内,其中所述电极具有第一部分及第二部分,所述第一部分界定第一平面,所述第二部分界定角度表面,并且所述角度表面与所述第一平面相交;及
调整器,耦合至所述电极。
9.如权利要求8所述的设备,还包含电子滤波器,所述电子滤波器耦合至所述电极。
10.如权利要求8所述的设备,其中所述支撑表面界定第二平面,且所述第一平面与所述第二平面实质平行。
11.如权利要求8所述的设备,其中所述电极为射频筛网。
12.一种控制电容耦合等离子体的空间分布的方法,包含:
在处理腔室内放置第一电极;
在所述处理腔室内放置第二电极,并使所述第二电极面对所述第一电极以界定处理空间,其中所述第二电极具有第一部分和第二部分,所述第一部分界定第一平面,所述第二部分界定角度平面,并且所述角度平面与所述第一平面相交;及
藉由施加射频功率至所述第一电极及施加直流功率至所述第二电极,而在所述处理空间内产生具有轴向及径向分量的电场。
13.如权利要求12所述的方法,还包含在所述处理腔室内放置第三电极。
14.如权利要求12所述的方法,还包含使用所述第二电极以提供用于射频功率的接地路径并在所述处理空间内施加电压偏压。
15.如权利要求13所述的方法,还包含调整所述第二电极和所述第三电极的至少其中一个。
CN2009801098201A 2008-03-20 2009-01-26 等离子体室中的可调式接地平面 Active CN101978474B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/052,431 2008-03-20
US12/052,431 US20090236214A1 (en) 2008-03-20 2008-03-20 Tunable ground planes in plasma chambers
PCT/US2009/031966 WO2009117173A1 (en) 2008-03-20 2009-01-26 Tunable ground planes in plasma chambers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201310485158.8A Division CN103594340A (zh) 2008-03-20 2009-01-26 等离子体室中的可调式接地平面

Publications (2)

Publication Number Publication Date
CN101978474A CN101978474A (zh) 2011-02-16
CN101978474B true CN101978474B (zh) 2013-11-13

Family

ID=41087806

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310485158.8A Pending CN103594340A (zh) 2008-03-20 2009-01-26 等离子体室中的可调式接地平面
CN2009801098201A Active CN101978474B (zh) 2008-03-20 2009-01-26 等离子体室中的可调式接地平面

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201310485158.8A Pending CN103594340A (zh) 2008-03-20 2009-01-26 等离子体室中的可调式接地平面

Country Status (7)

Country Link
US (3) US20090236214A1 (zh)
JP (2) JP2011519117A (zh)
KR (1) KR101546278B1 (zh)
CN (2) CN103594340A (zh)
SG (1) SG189685A1 (zh)
TW (1) TWI508632B (zh)
WO (1) WO2009117173A1 (zh)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
KR101924488B1 (ko) * 2010-05-12 2018-12-03 어플라이드 머티어리얼스, 인코포레이티드 프로세스 공간이 한정된 pecvd 챔버
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US9396955B2 (en) 2011-09-30 2016-07-19 Tokyo Electron Limited Plasma tuning rods in microwave resonator processing systems
US9728416B2 (en) 2011-09-30 2017-08-08 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
US9111727B2 (en) 2011-09-30 2015-08-18 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
US8808496B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Plasma tuning rods in microwave processing systems
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9673069B2 (en) 2012-07-20 2017-06-06 Applied Materials, Inc. High frequency filter for improved RF bias signal stability
US20140049162A1 (en) * 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US10128118B2 (en) * 2012-09-26 2018-11-13 Applied Materials, Inc. Bottom and side plasma tuning having closed loop control
WO2014149259A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning ring in a processing chamber
KR102146501B1 (ko) * 2013-03-15 2020-08-20 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 챔버에서 튜닝 전극을 사용하여 플라즈마 프로파일을 튜닝하기 위한 장치 및 방법
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US10032608B2 (en) * 2013-03-27 2018-07-24 Applied Materials, Inc. Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
KR102038647B1 (ko) * 2013-06-21 2019-10-30 주식회사 원익아이피에스 기판 지지 장치 및 이를 구비하는 기판 처리 장치
KR102298032B1 (ko) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법
JP6574547B2 (ja) * 2013-12-12 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9355776B2 (en) * 2014-04-09 2016-05-31 Applied Materials, Inc. Capacitor assemblies for coupling radio frequency (RF) and direct current (DC) energy to one or more common electrodes
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
CN106024566B (zh) * 2015-03-31 2019-07-05 松下知识产权经营株式会社 等离子处理装置以及等离子处理方法
KR20170024922A (ko) * 2015-08-26 2017-03-08 삼성전자주식회사 플라즈마 발생 장치
US10879041B2 (en) * 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US10631370B2 (en) * 2015-10-30 2020-04-21 Ngk Insulators, Ltd. Member for semiconductor manufacturing apparatus, method for producing the same, and heater including shaft
US10510625B2 (en) * 2015-11-17 2019-12-17 Lam Research Corporation Systems and methods for controlling plasma instability in semiconductor fabrication
CN108431930A (zh) * 2016-01-07 2018-08-21 应用材料公司 具有远程等离子体源和dc电极的原子层蚀刻系统
CN109314039B (zh) * 2016-04-22 2023-10-24 应用材料公司 具有等离子体限制特征的基板支撑基座
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
WO2018101065A1 (ja) * 2016-11-30 2018-06-07 東京エレクトロン株式会社 プラズマ処理装置
US10435789B2 (en) * 2016-12-06 2019-10-08 Asm Ip Holding B.V. Substrate treatment apparatus
US20180323039A1 (en) * 2017-05-05 2018-11-08 Applied Materials, Inc. Active far edge plasma tunability
KR102269345B1 (ko) * 2017-07-25 2021-06-28 주식회사 원익아이피에스 정전척 전류제어 장치
KR20200139842A (ko) * 2018-05-03 2020-12-14 어플라이드 머티어리얼스, 인코포레이티드 페데스탈들을 위한 rf 접지 구성
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
CN109037020A (zh) * 2018-07-26 2018-12-18 德淮半导体有限公司 等离子体装置及其工作方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20210080555A (ko) * 2018-11-21 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 위상 제어를 사용하여 플라즈마 분배를 조절하기 위한 디바이스 및 방법
KR102607686B1 (ko) 2018-12-06 2023-11-30 도쿄엘렉트론가부시키가이샤 샤워 플레이트, 플라스마 처리 장치 및 플라스마 처리 방법
JP7117734B2 (ja) 2018-12-06 2022-08-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7186393B2 (ja) * 2018-12-06 2022-12-09 東京エレクトロン株式会社 プラズマ処理装置
US11929234B2 (en) 2018-12-06 2024-03-12 Tokyo Electron Limited Plasma processing apparatus and lower stage
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
WO2020131839A1 (en) * 2018-12-17 2020-06-25 Applied Materials, Inc. Method of optical device fabrication using an ion beam source
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102256216B1 (ko) * 2019-06-27 2021-05-26 세메스 주식회사 플라즈마 처리 장치 및 플라즈마 제어 방법
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11584993B2 (en) * 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
JP2023043720A (ja) * 2021-09-16 2023-03-29 キオクシア株式会社 基板処理装置、及び半導体装置の製造方法
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
FR3135731B1 (fr) * 2022-05-17 2024-04-12 Univ Grenoble Alpes Procédé de dépôt de couches atomiques assisté par plasma à couplage capacitif
FR3135732B1 (fr) * 2022-05-17 2024-04-12 Univ Grenoble Alpes Réacteur de dépôt assisté par plasma
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050053076A (ko) * 2003-12-02 2005-06-08 주식회사 아이피에스 반도체 기판의 박막 제조장치
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692836A (en) * 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4585515A (en) 1985-03-11 1986-04-29 Rca Corporation Formation of conductive lines
JPH0196931A (ja) * 1987-10-09 1989-04-14 Mitsubishi Electric Corp プラズマエツチング装置
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH0637051A (ja) * 1992-07-15 1994-02-10 Tokyo Electron Ltd プラズマ装置
JPH0653176A (ja) * 1992-07-30 1994-02-25 Matsushita Electron Corp ドライエッチング装置
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5414324A (en) * 1993-05-28 1995-05-09 The University Of Tennessee Research Corporation One atmosphere, uniform glow discharge plasma
JP3257180B2 (ja) * 1993-09-21 2002-02-18 ソニー株式会社 成膜方法
JPH07169745A (ja) * 1993-12-16 1995-07-04 Sharp Corp 平行平板型ドライエッチング装置
JP3210207B2 (ja) * 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
JPH08306663A (ja) * 1995-04-28 1996-11-22 Sony Corp プラズマ装置及びこれを用いたプラズマ処理方法
TW473857B (en) * 1996-04-26 2002-01-21 Hitachi Ltd Method of manufacturing semiconductor device
US5737175A (en) * 1996-06-19 1998-04-07 Lam Research Corporation Bias-tracking D.C. power circuit for an electrostatic chuck
JP3650248B2 (ja) * 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JPH10321604A (ja) * 1997-05-22 1998-12-04 Nec Kyushu Ltd プラズマ処理装置
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
JP4136137B2 (ja) 1998-11-26 2008-08-20 東京エレクトロン株式会社 プラズマ処理装置
JP2000306891A (ja) * 1999-04-22 2000-11-02 Hitachi Ltd プラズマ処理装置
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6395095B1 (en) * 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6488820B1 (en) * 1999-08-23 2002-12-03 Applied Materials, Inc. Method and apparatus for reducing migration of conductive material on a component
JP2001096931A (ja) 1999-09-28 2001-04-10 Alps Electric Co Ltd 印刷方法および印刷用紙
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
AU2001259557A1 (en) * 2000-05-12 2001-11-26 Tokyo Electron Limited Method of adjusting the thickness of an electrode in a plasma processing system
TW511398B (en) * 2000-09-12 2002-11-21 Tokyo Electron Ltd Apparatus and method to control the uniformity of plasma by reducing radial loss
JP2002241945A (ja) * 2001-02-20 2002-08-28 Nissin Electric Co Ltd 薄膜形成装置
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
JP2002317267A (ja) * 2001-04-17 2002-10-31 Nec Kagoshima Ltd 薄膜製造方法
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
US20050016465A1 (en) * 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
JP4418193B2 (ja) * 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
JP4584572B2 (ja) * 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
JP2006339391A (ja) * 2005-06-02 2006-12-14 Matsushita Electric Ind Co Ltd ドライエッチング装置
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
JP4804824B2 (ja) * 2005-07-27 2011-11-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWM292147U (en) * 2005-11-23 2006-06-11 Celetech Semiconductor Inc Radio frequency grounding apparatus
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
TWI339404B (en) * 2006-01-27 2011-03-21 Advanced Micro Fab Equip Inc A plasma processing apparatus equipped with plasma confinement apparatus
JP4615464B2 (ja) * 2006-03-16 2011-01-19 東京エレクトロン株式会社 プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
US20070215284A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma processing apparatus and electrode assembly for plasma processing apparatus
JP4790458B2 (ja) * 2006-03-22 2011-10-12 東京エレクトロン株式会社 プラズマ処理装置
US20070227663A1 (en) * 2006-03-28 2007-10-04 Tokyo Electron Limited Substrate processing apparatus and side wall component
US8418892B2 (en) 2006-08-17 2013-04-16 Coster Tecnologie Speciali S.P.A. Lockable spray cap
US20080084650A1 (en) * 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
KR101250356B1 (ko) 2006-11-08 2013-04-05 주식회사 원익아이피에스 반도체 제조 장치
US20080142481A1 (en) * 2006-12-18 2008-06-19 White John M In-situ particle collector
JP4838736B2 (ja) * 2007-01-25 2011-12-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5029089B2 (ja) * 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
TWI440405B (zh) * 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
JP5458050B2 (ja) * 2011-03-30 2014-04-02 日本碍子株式会社 静電チャックの製法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
KR20050053076A (ko) * 2003-12-02 2005-06-08 주식회사 아이피에스 반도체 기판의 박막 제조장치

Also Published As

Publication number Publication date
TWI508632B (zh) 2015-11-11
US10774423B2 (en) 2020-09-15
SG189685A1 (en) 2013-05-31
WO2009117173A1 (en) 2009-09-24
JP2014053309A (ja) 2014-03-20
US20180073142A9 (en) 2018-03-15
US20090236214A1 (en) 2009-09-24
KR20100126510A (ko) 2010-12-01
WO2009117173A4 (en) 2009-11-12
US20160145742A1 (en) 2016-05-26
KR101546278B1 (ko) 2015-08-21
US20120205046A1 (en) 2012-08-16
CN101978474A (zh) 2011-02-16
CN103594340A (zh) 2014-02-19
TW200952565A (en) 2009-12-16
JP2011519117A (ja) 2011-06-30

Similar Documents

Publication Publication Date Title
CN101978474B (zh) 等离子体室中的可调式接地平面
US20190221463A1 (en) Process kit components for use with an extended and independent rf powered cathode substrate for extreme edge tunability
CN206758401U (zh) 控制电容耦合等离子体工艺设备的边缘环的射频振幅
US8607731B2 (en) Cathode with inner and outer electrodes at different heights
US6478924B1 (en) Plasma chamber support having dual electrodes
KR101854937B1 (ko) 플라즈마 처리 챔버들에서 기생 플라즈마 방지
KR20120000231U (ko) 플라즈마 처리 챔버를 위한 c-형상 한정 링
CN107146754A (zh) 等离子体处理装置
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
JP2004531880A (ja) 二重電極を有する基板の支持体

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant