KR101854937B1 - 플라즈마 처리 챔버들에서 기생 플라즈마 방지 - Google Patents

플라즈마 처리 챔버들에서 기생 플라즈마 방지 Download PDF

Info

Publication number
KR101854937B1
KR101854937B1 KR1020137002011A KR20137002011A KR101854937B1 KR 101854937 B1 KR101854937 B1 KR 101854937B1 KR 1020137002011 A KR1020137002011 A KR 1020137002011A KR 20137002011 A KR20137002011 A KR 20137002011A KR 101854937 B1 KR101854937 B1 KR 101854937B1
Authority
KR
South Korea
Prior art keywords
layer
plasma processing
void space
plasma
processing chamber
Prior art date
Application number
KR1020137002011A
Other languages
English (en)
Other versions
KR20130132384A (ko
Inventor
앤서니 리치
사우랍 울랄
래리 마르티네즈
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130132384A publication Critical patent/KR20130132384A/ko
Application granted granted Critical
Publication of KR101854937B1 publication Critical patent/KR101854937B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

플라즈마 처리 챔버의 부품에서 공극 공간들 내 기생 플라즈마는 슬리브와 함께 공극 공간들의 내부에 전기 전도성의 표면을 덮는 것에 의하여 제거될 수 있다. 공극 공간들은 상부 전극 및 기판 지지체와 같은 챔버 부품 내의 가스 홀들, 리프트 핀 홀들, 헬륨 통로들, 도관들 및/또는 플리넘일 수 있다.

Description

플라즈마 처리 챔버들에서 기생 플라즈마 방지 {PARASITIC PLASMA PREVENTION IN PLASMA PROCESSING CHAMBERS}
각각의 연속적인 반도체 기술 세대와 함께, 웨이퍼 직경들은 증가하는 추세이며 트랜지스터 크기들은 감소하는 추세이고, 기판 처리에 있어 그 어느 때보다도 높은 정확도와 재현성의 필요를 야기했다. 실리콘 웨이퍼와 같은 반도체 기판 재료들은 일상적으로 진공 챔버에 있는 플라즈마를 사용하여 처리된다. 플라즈마 처리 기술들은 스퍼터 디포지션 (sputter deposition), 플라즈마 강화 화학 기상 증착 (PECVD), 레지스트 박리 (resist strip), 그리고 플라즈마 에칭 (plasma etch) 을 포함한다.
플라즈마 처리 챔버에서, 처리 가스는 처리되고 있는 기판에 근접하여 플라즈마로 여기된다. 그러나, 플라즈마 처리 챔버에서 (가스 홀, 도관형들, 리프트 핀 홀 (list pin hole), 플리넘 (plenum) 등과 같은) 다른 위치에 있는 가스는 특정 조건들 아래서 원치 않는 플라즈마로 또한 여기 될 수 있다. 이러한 원치 않던 플라즈마를 기생 플라즈마라고 한다. 기생 플라즈마는 플라즈마 처리 챔버에서, 챔버 부품들의 기하학적 구조, 기체 압력 및 플라즈마 처리법에서 쓰이는 기체 화학, 무선 주파수 (RF) 전력의 공급 등과 같은 여러 이유로 생길 수 있다. 기생 플라즈마는 입자 오염, 처리 중 시공간적 불균일성 및/또는 챔버 부품의 조기 실패와 같은 플라즈마 처리에 있어 여러 문제들을 일으킬 수 있다. 따라서 플라즈마 처리 챔버에서 기생 플라즈마를 제거하는 것이 요구된다.
여기에서 설명되는 플라즈마 처리 챔버의 부품은,
비금속 물질의 제 1 층으로서, 제 1 층은 반대되는 제 1 면 및 제 2 면 을 갖고, 제 1 면은 플라즈마 처리 챔버에서 플라즈마에 노출되어 있으며 제 2 면은 플라즈마 처리 챔버에서 플라즈마에 노출되어 있지 않은, 제 1 층;
전기전도성 물질의 제 2 층으로서, 제 2 층은 제 1 층의 제 2 면과 본딩되어 있는, 제 2 층;
전체 제 1 및 제 2 층을 통하여 연장되는 공극 공간 (void space);
공극 공간에서 제 2 층이 노출되지 않고 공극 공간에서 기생 플라즈마가 방지될 수 있도록 제 2 층에서 공극 공간의 내부 면을 라이닝 (lining) 하는 관형 슬리브를 포함하고,
관형 슬리브의 일 단부가 제 1 층과 제 2 층의 마주보고 있는 면들과 동일 평면 상에 있고 관형 슬리브의 다른 단부는 제 2 층의 하부 면과 동일 평면 상에 있다.
도 1은 플라즈마 처리 챔버의 개략을 도시한 도면이다.
도 2는 공극 공간을 가지는 플라즈마 처리 챔버의 부품의 단면도이다.
도 3은 제 1 실시 형태에 따라서, 내부 면을 라이닝하는 슬리브와 함께 공극 공간을 가지는, 플라즈마 처리 챔버의 부품의 단면도이다.
도 4는 제 2 실시 형태에 따라서, 내부 면을 라이닝하는 슬리브와 함께 공극 공간을 가지는, 플라즈마 처리 챔버의 부품의 단면도이다.
도 5는 제 3 실시 형태에 따라서, 내부 면을 라이닝하는 슬리브와 함께 공극 공간을 가지는, 플라즈마 처리 챔버의 부품의 단면도이다.
기생 플라즈마를 제거하기 위한 플라즈마 처리 챔버의 방법과 부품들이 여기에서 설명된다. 방법과 부품들은 특정의 플라즈마 처리 챔버 형식 혹은 특정의 플라즈마 처리 기술에 제한되지 않는다. 플라즈마 처리 챔버는, 유도 커플링 (변압기 커플링), 헬리콘 (helicon), 전자 사이클로트론 공명, 정전용량 커플링 (평행 판) 과 같은 플라즈마 생성을 위한 여러 메커니즘들에 의존할 수 있다. 예를 들어, 고밀도 플라즈마는 트랜스 결합 플라즈마 (TCPTM) 처리 챔버에서 혹은 전자 사이클로트론 공명 (ECR) 처리 챔버에서 생산될 수 있다. RF 에너지가 챔버 내로 유도적으로 결합 되는 트랜스 결합 플라즈마 처리 챔버는, Lam Research Corporation의 Fremont, Calif 로부터 입수 가능하다. 고밀도 플라즈마를 제공할 수 있는 고류 (high-flow) 플라즈마 처리 챔버의 한 예는 공유의 개시내용이 참조에 의해 본원에 원용되는 미국 특허 제 5,948,704 호에서 개시되어 있다. 평행판 플라즈마 처리 챔버들인, 전자 사이클로트론 공명 (ECR) 플라즈마 처리 챔버들, 그리고 트랜스 결합 플라즈마 (TCPTM) 처리 챔버들은 공유의 미국 특허 제 4,340,462 호, 제 4,948,458 호, 제 5,200,232 호, 제 5,820,723 호에 개시되어 있고, 그들의 개시내용은 참조에 의해 본원에 원용된다.
예로서, 플라즈마는 개시내용이 참조에 의해 본원에 원용된 미국 특허 제 6,090,304 호에 기재된 이중 주파수 플라즈마 에칭 챔버와 같은, 평행판 처리 챔버 에서 생산될 수 있다. 바람직한 평행판 플라즈마 처리 챔버는 상부 샤워헤드 전극 및 기판 지지체를 포함하는 이중 주파수 용량 결합형 플라즈마 처리 챔버이다. 예시를 위하여, 여기의 실시형태는 평행판 종류의 플라즈마 처리 챔버를 참조하여 설명된다.
플라즈마 에칭을 위한 평행판 플라즈마 처리 챔버는 도 1에서 예시된다. 플라즈마 처리 챔버 (100) 는 챔버 (110), 입구 로드 록 (112), 그리고 선택적 출구 로드 록 (114), 또한 참조에 의해 본원에 전부 원용되는 공유의 미국 특허 제 6,824,627 호에 명시되는 세부사항을 전부 포함한다.
로드 록 (112 및 114) (제공되는 경우) 는 웨이퍼 공급부 (162) 로부터, 챔버를 통하여 (110), 웨이퍼 리셉터클 (164) 로 나가는 것과 같은 기판들을 이송하기 위한 이송 디바이스를 포함한다. 로드 록 펌프 (176) 는 로드 록 (112 및 114) 에서 원하는 진공 압력을 제공할 수 있다.
터보 펌프와 같은 진공 펌프 (172) 는 챔버 (110) 에서 원하는 압력을 유지하도록 구성된다. 플라즈마 에칭 도중에, 챔버 압력은 제어되며, 바람직하게는 플라즈마를 유지하기 위해 충분한 레벨에서 유지된다. 너무 높은 챔버 압력은 불리하게도 에칭 정지에 기여할 수 있는 반면, 너무 낮은 챔버 압력은 플라즈마 소멸을 야기할 수 있다. 평행판 플라즈마 처리 챔버와 같은 중간 밀도 플라즈마 처리 챔버에서, 바람직하게는 챔버 압력이 약 (여기에서 "약" 이라 함은 ±10% 를 의미함) 200 mTorr 아래의 압력 (예컨대, 100 mTorr 미만, 이를테면 20 mTorr 내지 50 mTorr) 에서 유지된다.
진공 펌프 (172) 는 챔버 (110) 의 벽에서 출구에 연결될 수 있으며 챔버에서 압력을 제어하기 위하여 밸브 (173) 에 의해 스로틀링될 수 있다. 바람직하게는, 진공 펌프가, 에칭 가스가 챔버 (110) 안으로 흘러들어가는 동안 챔버 (110) 내부의 압력을 200 mTorr 미만으로 유지할 수 있다.
챔버 (110) 는 상부 전극 (125) (예컨대, 샤워헤드 전극), 그리고 기판 지지체 (150) 를 포함하는 상부 전극 어셈블리 (120) 를 포함한다. 상부 전극 어셈블리 (120) 는 상부 하우징 (130) 에 장착된다. 상부 하우징 (130) 은, 상부 전극 (125) 과 기판 지지체 (150) 사이의 간격을 조정하기 위한 메커니즘 (132) 에 의하여 수직적으로 이동될 수 있다.
처리 가스 소스 (170) 는 상부 전극 어셈블리 (120) 로, 하나 이상의 가스를 포함하고 있는 처리 가스를 전달하기 위하여, 하우징 (130) 에 연결될 수 있다. 바람직한 플라즈마 처리 챔버에서, 상부 전극 어셈블리는 기판의 표면에 근접한 영역으로 처리 가스를 전달하기 위하여 사용될 수 있는 가스 분배 시스템을 포함한다. 하나 이상의 가스 링들, 인젝터들 및/또는 샤워헤드들 (예컨대, 샤워헤드 전극들) 을 포함할 수 있는 가스 분사 시스템은 공유의 미국 특허 제 6,333,272 호; 제 6,230,651 호; 제 6,013,155 호, 제 5,824,605 호에 개시되어 있고, 그들의 개시내용은 참조에 의해 본원에 원용된다.
상부 전극 (125) 은 바람직하게는, 처리 가스를 샤워헤드 전극을 통하여 분배하기 위하여 가스 홀들 (미도시) 을 포함하는 샤워헤드 전극을 포함한다. 가스 홀들은 0.02 인치 내지 0.2 인치의 직경을 가질 수 있다. 샤워헤드 전극은 원하는 처리 가스 분배를 촉진할 수 있는 하나 이상의 수직으로 이격된 배플 플레이트들을 포함할 수 있다. 상부 전극과 기판 지지체는 흑연, 실리콘, 실리콘 카바이드, 알루미늄 (예컨대, 양극산화 알루미늄), 또는 그들의 조합과 같은 임의의 적합한 재료로 형성될 수 있다. 열 전달 액체 소스 (174) 는 상부 전극 어셈블리 (120) 와 연결될 수 있고 다른 열 전송 액체 소스는 기판 지지체 (150) 와 연결될 수 있다.
기판 지지체 (150) 는, 기판 지지체 (150) 의 상부 표면 (155) (지지 표면) 상의 정전기적으로 클램핑하는 기판을 위하여, 하나 이상의 내장된 클램핑 전극들을 가질 수 있다. 기반 지지체 (150) 는, RF 소스와 RF 매칭 회로와 같은 수반되는 회로 (미도시) 에 의하여 전력을 공급받을 수 있다. 기판 지지체 (150) 는, 바람직하게는 온도 제어되고 선택적으로는 가열장치 (미도시) 를 포함할 수 있다. 가열장치의 예시들은 공유의 미국 특허 제 6,847,014 호, 제 7,161,121 호에 개시되고, 이들은 참조에 의해 본원에 원용된다. 기판 지지체 (150) 는 지지 표면 (155) 상의 반도체 기판, 이를 테면 평판 또는 200 ㎜ 혹은 300 ㎜ 웨이퍼를 지지할 수 있다.
기판 지지체 (150) 는 바람직하게는, 플라즈마 처리 도중에 기판 온도를 제어하기 위하여 지지 표면 (155) 상에 지지 되는 기판 아래의 헬륨과 같은 열 전달 기체를 공급하기 위한 내부 통로들을 포함한다. 예를 들어, 헬륨 후면 냉각은 기판 상의 포토 레지스트가 타는 것을 방지하기에 충분히 낮은 웨이퍼 온도를 유지할 수 있다. 기판과 기판 지지체 표면 사이의 공간으로 가압 된 기체를 유입하는 것에 의해 기판의 온도를 제어하는 방법은, 공유의 미국 특허 제 6,140,612 호에서 개시되어 있고, 그의 개시 내용은 참조에 의해 본원에 원용된다.
기판 지지체 (150) 는 리프트 핀 홀 (미도시) 을 포함할 수 있고, 그를 통하여 리프트 핀들이 적당한 메커니즘들에 의하여 수직적으로 작동될 수 있고 챔버 (110) 안밖으로의 이송을 위한 지지체 표면 (155) 에서 기판을 들어올릴 수 있다. 리프트 핀 홀들은 약 0.08 인치의 직경을 가질 수 있다. 리프트 핀 홀들의 상세는 공유의 미국 특허 제 5,885,423 호와 제 5,796,066 호에 개시되어 있고, 그들의 개시 내용은 참조에 의해 본원에 원용된다.
가스 홀들, 헬륨 통로들, 상부 전극 (125) 과 기판 지지체 (150) 내부의 리프트 핀 홀들과 같은 공극 공간들은 기생 플라즈마를 생성하기 쉽다. 예를 들어, 공극 공간이 RF 주파수들에서 상이한 상대 유전율을 가지는 재료들의 층들을 가로질러 연장되는 경우, 초과 RF 전압은 층들 사이에서 특정한 조건 하 (예컨대, 기체 압력, RF 부하, RF 주파수 등) 에 발생할 수 있다. 이러한 초과 RF 전압 (예컨대, 20 V 혹은 그 이상) 은 공극 공간에서 기생 플라즈마를 야기하기에 충분할 수 있다. 상부 전극 (125) 및 기판 지지체 (150) 에서 공통으로 사용되는 전기전도성 물질들은 알루미늄, 강, 흑연 및 도핑된 실리콘을 포함할 수 있다. (예컨대, 가스 홀들의) 공극 공간의 노출된 전도성 내면은, RF 전력이 플라즈마를 처리 챔버 (100) 에서 생성하기 위해 처리 챔버 (100) 에 공급될 때, 전기장들을 집중시켜 그들에게 근접하게 기생 플라즈마를 강화할 수 있다. 평행판들 내에 기체의 DC 파괴 전압 VB 은, Paschen 방정식
Figure 112013007162318-pct00001
Figure 112013007162318-pct00002
에 의하여, 압력 p 그리고 간격 거리 d 의 함수로서 주어지고, 여기서 A 와 B 가 기체의 특정들 (예컨대, 온도, 기체 조성 및 이온화 포텐셜) 에 의하여 결정되는 상수들이고 γ 는 평행판들의 물질과 관련된 파라미터이다. 특히나 기체 및 집중된 전기장들에 노출된 전도성 표면들의 부근에서, AC 파괴 전압은 VB 의 십분의 일만큼 작을 수 있다.
도 2는 플라즈마 처리 챔버의 부품 (200) (예컨대, 상부 전극 또는 기판 지지체) 에서 공극 공간 (210) 의 단면도이다. 부품 (200) 은 비 금속 물질 (예컨대, 세라믹 혹은 도핑 되지 않은 실리콘) 층 (220), 전기 전도성 물질 (예컨대, 알루미늄) 층 (230) 을 포함한다. 층 (220) 은 플라즈마 처리 챔버에서 플라즈마 (260) 에 노출된 표면 (220a) 과 플라즈마 (260) 에 노출되지 않은 표면 (220b) 을 갖는다. 층 (230) 은 접착제 (예컨대, 실리콘 고무) 사용과 같은 적합한 기술을 사용하여 표면 (220b) 에 본딩될 수 있다. 각 공극 공간 (210) 은 전체 층 (220) 과 전체 층 (230) 을 통하여 연장되며 플라즈마 처리 챔버의 내부로 개방되어 있다. 층 (220) 의 두께와 층 (230) 의 두께는 바람직하게는 각각 0.5 ㎜ 내지 5 ㎜ 이다. 공극 공간 (210) 은 직경이 약 0.02 인치 내지 약 0.08 인치일 수 있다. 예를 들어, 층 (220) 은 알루미나, 질화알루미늄, 도핑 되지 않은 실리콘, 질화 실리콘, 산화 실리콘, 질산화 실리콘 및/또는 이트리아로 만들어질 수 있다. 층 (230) 은 예를 들어, 금속, 흑연, 및/또는 도핑 되지 않은 실리콘으로 만들어질 수 있다. 층 (230) 은 공극 공간 (210) 의 내부에서 노출된 전도성 표면 (235) 을 갖는다. 기생 플라즈마 (250) 는 공극 공간 (210) 의 내부에서 발생할 수 있으며, 거기에서 침식 (erosion) 및/또는 부식 (corrosion) 을 야기할 수 있다. 부품 (200) 은 기재 (290) 에 부착될 (이를 테면, 본딩, 지지, 패스닝 및/또는 접착) 수 있다. 기재는 예를 들어, 거기에 임베딩된 유체 채널 (290a) 을 통하여 액체를 흐르게 하여 온도 제어될 수 있다. 기재는 또한 공극 (210) 과 함께 유체로 연통하는 적어도 하나의 플리넘 (290b) 을 가질 수 있다. RF 전력은 플라즈마 (260) 을 발생시키기 위하여 기재 (290) 로 공급될 수 있다. 층 (220) 은 그 층 (220) 에 임베딩된 적어도 하나의 정전 척 (ESC) 전극 (220c) 을 가질 수 있다. 적어도 하나의 히터 (240) (예컨대, 박막 히터) 는 층 (230) 과 바람직하게는 열 접촉을 이룬다 (예컨대, 바닥 면에 부착되거나, 또는 임베딩된다). 바람직하게는, 플라즈마 처리 챔버에서 존재하는, 무선 주파수의 층 (230) 의 전기전도성 물질의 상대 유전율은, 무선 주파수에서 층 (220) 의 비금속 물질의 상대 유전율에 비해 적어도 20배이다. 한 예에서, 공극 공간 (210) 이 30 Torr 에서 헬륨으로 채워져있고, 층 (220) 은 대략 0.1 인치 두께이며; 층 (230) 은 대략 0.03 인치 두께의 접착층으로 층 (220) 과 본딩되며; 부품은 27 MHz 에서 2500 W 의 RF 전력을 받고; 플라즈마 (260) 는 대략 60 Ω 의 임피던스를 가지며, 층 (230) 과 표면 (220a) 사이에 대략 15.5 V 의 RF 포텐셜이 생기고, 이는 공극 공간 (210) 내의 헬륨 가스의 파괴 전압을 초과하고, 거기에서 기생 플라즈마 (250) 를 야기 할 수 있다.
도 3에 보이는 바와 같이 하나의 실시형태에서, 관형 슬리브 (300) 는 공극 공간 (210) 의 내부 면을 라이닝한다. 슬리브 (300) 는, 플라스틱 (예컨대, 폴리에테르 에테르 케톤), 또는 세라믹 (예컨대, 알루미나) 과 같이, 바람직하게는 층 (230) 의 유전 상수보다 낮은 유전 상수를 갖는 물질로 만들어져 있다. 바람직하게는, 층 (220) 내의 공극 공간 (210) 의 부분은, 슬리브 (300) 를 통한 통로와 같은 단면 모양 (예컨대, 원, 다각형 혹은 다른 형태의 적합한 모양) 을 갖는다. 공극 공간 (210) 은 실린더 혹은 프리즘 모양일 수 있다. 특히나, 공극 공간 (210) 이 실린더 모양이라면, 관형 슬리브 (300) 은 층 (220) 에서 공극 공간 (210) 의 내경과 같은 내경을 갖는다. 슬리브 (300) 의 반지름 방향 두께는 공극 공간 (210) 내의 기생 플라즈마를 억제하기에 충분하여야 하며, 바람직하게는 적어도 0.01 인치여야 한다. 바람직하게는, 슬리브 (300) 는 층 (220) 과 같은 물질로 만들어지며 플라즈마 (260) 에 직접적으로 노출되지 않는다. 슬리브 (300) 는 바람직하게는 층 (230) 의 상부 표면과 동일 평면 상에 있는 일 단부를 가지며 슬리브 (300) 의 다른 단부는 층 (230) 의 전도성 표면 (235) 이 슬리브 (300) 에 의하여 전체로 덮이도록, 즉 공극 공간 (210) 내에서 어떠한 전도성 표면도 노출되지 않도록, 층 (230) 의 하부 표면과 동일 평면 상에 있다. 바람직하게는, 슬리브 (300) 가 기재 (290) 안으로 연장되지 않는다.
슬리브 (300) 는, 접착제, 압입 혹은 그와 비슷한 것과 같이 임의의 적절한 수단에 의하여 부품 (200) 에 부착된 자가 지지 슬리브일 수 있다. 그러나, 슬리브 (300) 는 라미네이트 (200) 내의 스레드들과 짝을 이루는 (mate) 그것의 외부 표면 상에 스레드들을 가질 수 있다. 슬리브 (300) 는 임의의 적합한 코팅 수단에 의하여 공극 공간 (210) 의 내면 상에 도포된 코팅의 형태일 수 있다. 예를 들어, CVD, 플라즈마 스프레잉이 있다.
도 4에 보이는 바와 같이 다른 실시형태에서, 관형 슬리브 (400) 는 슬리브 (400) 가 상부 플랜지 (410) 를 갖는다는 것을 제외하고는 관형 슬리브 (300) 와 동일하다. 플랜지 (410) 는 바람직하게는 층 (230) 의 상부 표면과 동일 평면 상의 상부 표면을 갖는다. 슬리브 (400) 는, 층 (230) 에 층 (220) 을 본딩하기 전에, 공극 공간 (210) 내에 장착될 수 있다.
도 5는 또 다른 실시형태를 보인다. 관형 슬리브 (500) 는 슬리브 (500) 가 하부 플랜지 (510) 를 갖는다는 것을 제외하고는 관형 슬리브 (300) 와 동일하다. 이 경우, 플랜지는 층 (230) 의 하부 표면과 동일 평면 상의 하부 표면을 갖는다. 슬리브 (500) 는, 층 (230) 에 기재 (290) 를 부착하기 전에, 공극 공간 (210) 내에 장착될 수 있다.
여기에서 설명되는 슬리브는 캐비티들, 홀들, 도관들, 공극들, 플리넘 및/또는 플라즈마 처리 챔버에서 기생 플라즈마를 만드는 경향이 있는 다른 공간들에서 역시 사용될 수 있다. 슬리브는 이 공간들의 내부 전도성 표면들과 맞는 모양으로 만들어질 수 있다. 예를 들어, 기판 지지체 (150) 는 리프트 핀 홀 및/또는 헬륨 통로들에서 슬리브들을 가질 수 있다.
기생 플라즈마를 제거하는 것을 위한 슬리브, 그리고 그 안에 슬리브를 갖는 플라즈마에 노출된 라미네이트가 구체적인 실시형태를 참조하여 상세하게 설명되었지만, 첨부된 청구항의 범위로부터 벗어나지 않고서 다양한 변화나 수정이 만들어질 수 있음은 당업자에게 자명할 것이다.

Claims (20)

  1. 플라즈마 처리 챔버의 부품에 있어서,
    비금속 물질의 제 1 층으로서, 반대되는 제 1 면 및 제 2 면들을 가지며, 상기 제 1 면은 상기 플라즈마 처리 챔버에서 플라즈마에 노출되고 상기 제 2 면은 상기 플라즈마 처리 챔버에서 플라즈마에 노출되지 않은, 상기 제 1 층;
    전기 전도성 물질의 제 2 층으로서, 상기 제 2 층은 상기 제 1 층의 상기 제 2 면에 본딩되는, 상기 제 2 층;
    전체 상기 제 1 층 및 상기 제 2 층에 걸쳐 연장되고, 상기 플라즈마 처리 챔버의 내부에 개방되어 있는 공극 공간; 및
    상기 제 2 층이 상기 공극 공간에서 노출되지 않도록 상기 제 2 층에서 상기 공극 공간에 맞는 (fitted) 관형 슬리브를 포함하고,
    상기 관형 슬리브의 일 단부가 상기 제 1 층과 상기 제 2 층의 마주보는 면들과 동일 평면 상에 있고, 상기 관형 슬리브의 다른 단부는 상기 제 2 층의 하부 면과 동일 평면 상에 있고 상기 관형 슬리브는 상기 제 1 층에서 상기 공극 공간의 내경과 같은 내경의 노출된 내면을 가지는, 플라즈마 처리 챔버의 부품.
  2. 제 1 항에 있어서,
    상기 관형 슬리브는 상기 제 1 층과 같은 물질로 만들어지거나;
    상기 관형 슬리브는 상기 제 2 층의 유전 상수보다 낮은 유전 상수를 갖는 물질로 만들어지거나;
    상기 관형 슬리브는 플라스틱 혹은 세라믹으로 만들어지거나;
    상기 관형 슬리브는 코팅인, 플라즈마 처리 챔버의 부품.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 관형 슬리브는 상기 관형 슬리브의 외면 상에 접착제, 앵커들 및 스레드들 중 적어도 하나로 상기 공극 공간의 내면 상에 부착된, 플라즈마 처리 챔버의 부품.
  5. 제 1 항에 있어서,
    상기 제 1 층은 알루미나, 질화알루미늄, 도핑되지 않은 실리콘, 질화 실리콘, 산화 실리콘, 질산화 실리콘 및 이트리아 중 적어도 하나로 만들어지고;
    상기 제 2 층은 금속, 흑연 및 도핑된 실리콘 중 적어도 하나로 만들어진, 플라즈마 처리 챔버의 부품.
  6. 제 1 항에 있어서,
    상기 관형 슬리브는, 상기 제 2 층에서 짝을 이루는 오목부 (recess) 에 수용되는 외부로 연장되는 상부 플랜지 혹은 외부로 연장되는 하부 플랜지를 갖는, 플라즈마 처리 챔버의 부품.
  7. 제 1 항에 있어서,
    상기 관형 슬리브는 적어도 0.01 인치 두께의 벽을 갖는, 플라즈마 처리 챔버의 부품.
  8. 제 1 항에 있어서,
    상기 플라즈마 처리 챔버에 존재하는 무선 주파수들에서의 상기 전기 전도성 물질의 상대 유전율은, 상기 무선 주파수들에서 비금속 물질의 상대 유전율에 비해 적어도 20배인, 플라즈마 처리 챔버의 부품.
  9. 제 1 항에 있어서,
    상기 제 2 층은 두께가 0.5 ㎜ 내지 5 ㎜ 인, 플라즈마 처리 챔버의 부품.
  10. 제 1 항에 있어서,
    상기 제 2 층과 열 접촉되는 적어도 하나의 히터를 더 포함하는, 플라즈마 처리 챔버의 부품.
  11. 제 10 항에 있어서,
    상기 적어도 하나의 히터는 상기 제 2 층에서 임베딩되거나, 또는 상기 제 2 층의 바닥면에 부착되는 박막 히터인, 플라즈마 처리 챔버의 부품.
  12. 제 1 항에 있어서,
    상기 부품은 상부 전극 또는 기판 지지체이거나;
    상기 공극이 가스 홀, 리프트 핀 홀, 헬륨 통로, 도관 및 플리넘 중 적어도 하나인, 플라즈마 처리 챔버의 부품.
  13. 제 1 항에 있어서,
    상기 제 2 층은 접착제에 의해 상기 제 2 면에 본딩된, 플라즈마 처리 챔버의 부품.
  14. 제 1 항에 있어서,
    적어도 하나의 임베딩된 ESC 전극을 더 포함하는, 플라즈마 처리 챔버의 부품.
  15. 제 1 항에 있어서,
    상기 공극 공간의 내경이 0.02 인치 내지 0.08 인치인, 플라즈마 처리 챔버의 부품.
  16. 제 1 항에 있어서,
    상기 제 2 층에 부착된 기재를 더 포함하고, 상기 기재에 무선 주파수 전력이 공급되거나;
    상기 기재는 임베딩된 유체 채널들을 갖거나;
    상기 기재가 상기 공극 공간과 유체로 연통하는 적어도 하나의 플리넘을 갖는, 플라즈마 처리 챔버의 부품.
  17. 제 16 항에 있어서,
    상기 기재는 상기 제 2 층과 결합되거나, 지지되거나, 패스닝되거나 접착되는, 플라즈마 처리 챔버의 부품.
  18. 제 1 항에 기재된 플라즈마 처리 챔버의 부품을 포함하는, 플라즈마 처리 챔버.
  19. 제 1 항에 기재된 플라즈마 처리 챔버의 부품을 제조하는 방법에 있어서,
    제 2 층이 공극 공간에서 노출되지 않고 기생 플라즈마가 상기 공극 공간에서 방지될 수 있도록, 관형 슬리브로 상기 제 2 층에서 상기 공극 공간의 내면을 라이닝하는 단계; 및
    제 1 층의 제 2 면과 상기 제 2 층을 본딩하는 단계를 포함하는, 플라즈마 처리 챔버의 부품을 제조하는 방법.
  20. 제 19 항에 있어서,
    상기 제 2 층에 기재를 부착하는 단계를 더 포함하는, 플라즈마 처리 챔버의 부품을 제조하는 방법.
KR1020137002011A 2010-07-27 2011-07-18 플라즈마 처리 챔버들에서 기생 플라즈마 방지 KR101854937B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/844,527 2010-07-27
US12/844,527 US9728429B2 (en) 2010-07-27 2010-07-27 Parasitic plasma prevention in plasma processing chambers
PCT/US2011/001270 WO2012018368A2 (en) 2010-07-27 2011-07-18 Parasitic plasma prevention in plasma processing chambers

Publications (2)

Publication Number Publication Date
KR20130132384A KR20130132384A (ko) 2013-12-04
KR101854937B1 true KR101854937B1 (ko) 2018-05-04

Family

ID=45525502

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137002011A KR101854937B1 (ko) 2010-07-27 2011-07-18 플라즈마 처리 챔버들에서 기생 플라즈마 방지

Country Status (7)

Country Link
US (1) US9728429B2 (ko)
JP (1) JP5815703B2 (ko)
KR (1) KR101854937B1 (ko)
CN (1) CN103026799B (ko)
SG (2) SG10201505834VA (ko)
TW (1) TWI662864B (ko)
WO (1) WO2012018368A2 (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US10497598B2 (en) * 2014-02-07 2019-12-03 Entegris, Inc. Electrostatic chuck and method of making same
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
TWI798594B (zh) * 2014-04-25 2023-04-11 美商應用材料股份有限公司 用於高溫應用的耐電漿腐蝕薄膜塗層
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US20180358212A1 (en) * 2015-12-09 2018-12-13 Applied Materials, Inc. System configured for sputter deposition on a substrate, shielding device for a sputter deposition chamber, and method for providing an electrical shielding in a sputter deposition chamber
EP3184073B1 (de) * 2015-12-22 2019-05-29 Intensiv SA Werkzeug zur behandlung von interdentalflächen
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169101B (zh) * 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
KR102548570B1 (ko) * 2021-07-22 2023-06-29 피에스케이 주식회사 기판 처리 장치 및 도어 어셈블리 구동 방법
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020135969A1 (en) * 1996-04-26 2002-09-26 Applied Materials, Inc. Electrostatic chuck having composite dielectric layer and method of manufacture
US20090002913A1 (en) * 2007-06-29 2009-01-01 Mahmood Naim Polyceramic e-chuck

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
DE3316837C2 (de) 1983-05-07 1986-06-26 Dornier System Gmbh, 7990 Friedrichshafen Einrichtung zur Erzeugung von Stoßwellen mittels einer Funkenstrecke für die berührungsfreie Zertrümmerung von Konkrementen in Körpern von Lebewesen
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US5129359A (en) * 1988-11-15 1992-07-14 Canon Kabushiki Kaisha Microwave plasma CVD apparatus for the formation of functional deposited film with discharge space provided with gas feed device capable of applying bias voltage between the gas feed device and substrate
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
DE4301189C2 (de) 1993-01-19 2000-12-14 Leybold Ag Vorrichtung zum Beschichten von Substraten
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5748663A (en) 1994-06-08 1998-05-05 Qsource, Inc. Retangular discharge gas laser
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US6140612A (en) 1995-06-07 2000-10-31 Lam Research Corporation Controlling the temperature of a wafer by varying the pressure of gas between the underside of the wafer and the chuck
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5796066A (en) 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5885423A (en) 1996-03-29 1999-03-23 Lam Research Corporation Cammed nut for ceramics fastening
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
DE19950922A1 (de) 1999-10-21 2001-04-26 Beru Ag Zündkerze
US6606234B1 (en) 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
JP2002110679A (ja) 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
KR100427459B1 (ko) 2001-09-05 2004-04-30 주성엔지니어링(주) 아크 방지용 정전척
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
KR100505035B1 (ko) * 2003-11-17 2005-07-29 삼성전자주식회사 기판을 지지하기 위한 정전척
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP4687534B2 (ja) 2005-09-30 2011-05-25 東京エレクトロン株式会社 基板の載置機構及び基板処理装置
CN100440476C (zh) 2005-09-30 2008-12-03 东京毅力科创株式会社 基板载置机构以及基板处理装置
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
EP1804275B1 (de) 2005-12-22 2009-03-11 Applied Materials GmbH & Co. KG Zerstäubungsvorrichtung mit einer Rohrkathode und Verfahren zum Betreiben dieser Zerstäubungsvorrichtung
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
US7699957B2 (en) 2006-03-03 2010-04-20 Advanced Display Process Engineering Co., Ltd. Plasma processing apparatus
KR101020160B1 (ko) * 2006-03-03 2011-03-09 엘아이지에이디피 주식회사 플라즈마 처리장치
US20070264443A1 (en) 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7501605B2 (en) 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
JP5160112B2 (ja) * 2007-03-19 2013-03-13 東京エレクトロン株式会社 処理装置内構造体、プラズマ処理装置内構造体及びプラズマ処理装置
KR101119627B1 (ko) * 2007-03-29 2012-03-07 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
WO2009014846A1 (en) * 2007-07-20 2009-01-29 Applied Materials, Inc. Rf choke for gas delivery to an rf driven electrode in a plasma processing apparatus
KR101125885B1 (ko) 2007-07-31 2012-03-22 어플라이드 머티어리얼스, 인코포레이티드 감소된 플라즈마 침투 및 아킹을 갖는 정전척을 제공하는 방법 및 장치
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
JP5174582B2 (ja) * 2007-08-30 2013-04-03 日本碍子株式会社 接合構造体
JP2009060011A (ja) * 2007-09-03 2009-03-19 Tokyo Electron Ltd 基板載置台、基板処理装置、及び温度制御方法
DE112008003056T8 (de) 2007-11-16 2011-01-20 ULVAC, Inc., Chigasaki-shi Chalcogenid-Film und Verfahren zu dessen Herstellung
WO2009065016A1 (en) * 2007-11-16 2009-05-22 Applied Materials, Inc. Rpsc and rf feedthrough
JP5201527B2 (ja) * 2008-03-28 2013-06-05 東京エレクトロン株式会社 静電チャック、及びその製造方法
TWI398196B (zh) 2008-07-04 2013-06-01 Au Optronics Corp 防電弧保護裝置以及其組裝方法
JP5584517B2 (ja) * 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020135969A1 (en) * 1996-04-26 2002-09-26 Applied Materials, Inc. Electrostatic chuck having composite dielectric layer and method of manufacture
US20090002913A1 (en) * 2007-06-29 2009-01-01 Mahmood Naim Polyceramic e-chuck

Also Published As

Publication number Publication date
US20120024449A1 (en) 2012-02-02
SG187142A1 (en) 2013-02-28
KR20130132384A (ko) 2013-12-04
CN103026799B (zh) 2016-03-30
WO2012018368A9 (en) 2012-07-19
WO2012018368A3 (en) 2012-04-05
WO2012018368A2 (en) 2012-02-09
US9728429B2 (en) 2017-08-08
CN103026799A (zh) 2013-04-03
TWI662864B (zh) 2019-06-11
JP5815703B2 (ja) 2015-11-17
JP2013538417A (ja) 2013-10-10
TW201220961A (en) 2012-05-16
SG10201505834VA (en) 2015-09-29

Similar Documents

Publication Publication Date Title
KR101854937B1 (ko) 플라즈마 처리 챔버들에서 기생 플라즈마 방지
US10811296B2 (en) Substrate support with dual embedded electrodes
KR101546278B1 (ko) 플라스마 챔버의 조정가능한 접지 평면
US7895970B2 (en) Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8485128B2 (en) Movable ground ring for a plasma processing chamber
US8636872B2 (en) Upper electrode and plasma processing apparatus
US9171702B2 (en) Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US6605177B2 (en) Substrate support with gas feed-through and method
US8826855B2 (en) C-shaped confinement ring for a plasma processing chamber
KR102092623B1 (ko) 플라스마 처리 장치
CN102110573B (zh) 等离子体处理装置
US11551916B2 (en) Sheath and temperature control of a process kit in a substrate processing chamber
US11894255B2 (en) Sheath and temperature control of process kit
KR20200106091A (ko) 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
KR20210142266A (ko) 지지 유닛, 이를 포함하는 기판 처리 장치 및 지지 유닛 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right