JP2013538417A - プラズマ処理チャンバ内のパラシティックプラズマの阻止 - Google Patents

プラズマ処理チャンバ内のパラシティックプラズマの阻止 Download PDF

Info

Publication number
JP2013538417A
JP2013538417A JP2013521760A JP2013521760A JP2013538417A JP 2013538417 A JP2013538417 A JP 2013538417A JP 2013521760 A JP2013521760 A JP 2013521760A JP 2013521760 A JP2013521760 A JP 2013521760A JP 2013538417 A JP2013538417 A JP 2013538417A
Authority
JP
Japan
Prior art keywords
layer
component
plasma
void space
component according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013521760A
Other languages
English (en)
Other versions
JP5815703B2 (ja
Inventor
リッチ・アンソニー
ソーラブ・ウラル
マルチネス・ラリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013538417A publication Critical patent/JP2013538417A/ja
Application granted granted Critical
Publication of JP5815703B2 publication Critical patent/JP5815703B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【解決手段】プラズマ処理チャンバの部品内のヴォイドにおけるパラシティックプラズマは、ヴォイドの内側の導電性表面をスリーブで覆うことによって排除することができる。ヴォイドは、上方電極及び基板サポートなどのチャンバ部品内の、ガスホール、リフトピンホール、ヘリウム通路、導管、及び/又はプレナムであることができる。
【選択図】図3

Description

半導体技術は、世代を追うごとに、ウエハ直径の増加及びトランジスタサイズの縮小を伴う傾向があり、その結果、基板処理において、よりいっそう高い正確さ及び再現性が求められる。シリコンウエハなどの半導体基板材料は、通常、真空チャンバ内でプラズマを使用して処理される。プラズマ処理技術には、スパッタリング蒸着、プラズマ化学気相成長(PECVD)、レジスト剥離、及びプラズマエッチングなどがある。
プラズマ処理チャンバ内では、処理されている基板の付近でプロセスガスが励起され、プラズマにされる。しかしながら、プラズマ処理チャンバ内のその他の場所(ガスホール、導管、リフトピンホール、プレナムなど)にあるガスも、或る種の条件下で励起され、不必要なプラズマを生じる可能性がある。このような不必要なプラズマは、パラシティックプラズマ(寄生プラズマ)と呼ばれる。パラシティックプラズマは、チャンバ部品の幾何学形状、プラズマ処理レシピにおいて使用されるガスの圧力及び化学的性質、高周波数(RF)電力の供給などの多くの理由によって、プラズマ処理チャンバ内で発生する可能性がある。パラシティックプラズマは、プラズマ処理において、粒子汚染、処理時における空間的及び時間的な非均一性、並びに/又はチャンバ部品の早期故障などの様々な問題を引き起こす可能性がある。したがって、プラズマ処理チャンバ内のパラシティックプラズマを排除することが望まれている。
本明細書で説明されるのは、プラズマ処理チャンバの部品であって、非金属材料の第1の層であって、相対する第1及び第2の表面を有し、第1の表面は、プラズマ処理チャンバ内でプラズマに暴露され、第2の表面は、プラズマ処理チャンバ内でプラズマに暴露されない、第1の層と、導電性材料の第2の層であって、第1の層の第2の表面に接合される第2の層と、第1の層及び第2の層の全体を貫くヴォイド空間と、第2の層がヴォイド空間内で露出されないように及びヴォイド空間内のパラシティックプラズマが阻止されるように、第2の層内でヴォイド空間の内表面を覆う管状スリーブと、を含み、管状スリーブの一方の端は、第1の層及び第2の層の対向表面と同一平面にあり、管状スリーブのもう一方の端は、第2の層の下表面と同一平面にある。
代表的なプラズマ処理チャンバを示した概略図である。
ヴォイド空間を有するプラズマ処理チャンバの一部品を示した断面図である。
第1の実施形態にしたがった、内表面をスリーブで内張りされた、ヴォイド空間を有するプラズマ処理チャンバの一部品を示した断面図である。
第2の実施形態にしたがった、内表面をスリーブで内張りされた、ヴォイド空間を有するプラズマ処理チャンバの一部品を示した断面図である。
第3の実施形態にしたがった、内表面をスリーブで内張りされた、ヴォイド空間を有するプラズマ処理チャンバの一部品を示した断面図である。
本明細書で説明されるのは、パラシティックプラズマ(寄生プラズマ)を排除するための方法及びプラズマ処理チャンバの部品である。方法及び部品は、特定のタイプのプラズマ処理チャンバ又はプラズマ処理技術に限定されない。プラズマ処理チャンバは、誘導結合(変成器結合)、ヘリコン、電子サイクロトロン共鳴、容量結合(平行平板)などの種々様々なプラズマ生成メカニズムに依存することができる。例えば、高密度プラズマは、変成器結合プラズマ(TCP(登録商標))処理チャンバ内で、又は電子サイクロトロン共鳴(ECR)処理チャンバ内で生成することができる。RFエネルギがチャンバ内に誘導結合されている変成器結合プラズマ処理チャンバは、カリフォルニア州フリーモントのラム・リサーチ・コーポレーション(Lam Research Corporation)から入手可能である。高密度プラズマを提供することができる高流量プラズマ処理チャンバの一例が、参照によってその開示内容を本明細書に組み込まれる共同所有の米国特許第5,948,704号に開示されている。平行平板プラズマ処理チャンバ、電子サイクロトロン共鳴(ECR)プラズマ処理チャンバ、及び変成器結合プラズマ(TCP(登録商標))処理チャンバは、参照によってその開示内容を本明細書に組み込まれる共同所有の米国特許第4,340,462号、第4,948,458号、第5,200,232号、及び5,820,723号に開示されている。
例えば、プラズマは、参照によってその開示内容を本明細書に組み込まれる共同所有の米国特許第6,090,304号に記載されている二重周波数プラズマエッチングチャンバなどの平行平板処理チャンバ内で生成することができる。好ましい平行平板プラズマ処理チャンバは、上方シャワーヘッド電極と基板サポートとを含む二重周波数容量結合プラズマ処理チャンバである。例示のために、本明細書では、平行平板タイプのプラズマ処理チャンバに言及して実施形態の説明がなされる。
プラズマエッチングのための平行平板プラズマ処理チャンバが、図1に例示されている。プラズマ処理チャンバ100は、チャンバ110と、入口ロードロック112と、随意の出口ロードロック114とを含み、更なる詳細は、参照によってその全体を本明細書に組み込まれる共同所有の米国特許第6,824,627号に記載されている。
ロードロック112及び(もし提供されるならば)ロードロック114は、ウエハなどの基板をウエハ供給部162からチャンバ110を経てウエハ容器164に出すための移送機器を含む。ロードロックポンプ176は、ロードロック112内及びロードロック114内に所望の真空圧力を提供することができる。
ターボポンプなどの真空ポンプ172が、チャンバ110内を所望の圧力に維持するように適応される。プラズマエッチング中、チャンバ圧力は制御され、好ましくは、プラズマを持続させるのに十分なレベルに維持される。高すぎるチャンバ圧力は、エッチストップに不当に寄与する可能性があり、低すぎるチャンバ圧力は、プラズマ消滅を招く可能性がある。平行平板プラズマ処理チャンバなどの中密度のプラズマ処理チャンバ内では、好ましくは、チャンバ圧力は、約200ミリトール未満(例えば、20〜50ミリトールなどのように100ミリトール未満)の圧力に維持される(本明細書で使用される「約」は、±10%を意味する)。
真空ポンプ172は、チャンバ110の壁の出口につなぐことができ、チャンバ内の圧力を制御するために、弁173によって絞り調整することができる。好ましくは、真空ポンプは、チャンバ110にエッチングガスが流れ込む間、チャンバ110内の圧力を200ミリトール未満に維持することができる。
チャンバ110は、上方電極125(例えば、シャワーヘッド電極)を含む上方電極アセンブリ120と、基板サポート150とを含む。上方電極アセンブリ120は、上方ハウジング130内に取り付けられる。上方ハウジング130は、上方電極125と基板サポート150との間の間隔を調節するために、メカニズム132によって垂直移動させることができる。
1種以上のガスを含むプロセスガスを上方電極アセンブリ120に供給するために、ケース130に、プロセスガス源170をつなぐことができる。好ましいプラズマ処理チャンバ内では、上方電極アセンブリは、基板の表面付近の領域にプロセスガスを供給するために使用することができるガス分配システムを含む。ガス分配システムは、1つ以上のガスリング、注入器、及び/又はシャワーヘッド(例えば、シャワーヘッド電極)を含むことができ、参照によってその開示内容を本明細書に組み込まれる共同所有の米国特許第6,333,272号、第6,230,651号、第6,013,155号、及び第5,824,605号に開示されている。
上方電極125は、好ましくは、プロセスガスを通らせて分配するためのガスホール(不図示)を含むシャワーヘッド電極を含む。ガスホールは、0.02〜0.2インチ(おおよそ0.0508〜0.508センチ)の直径を有することができる。シャワーヘッド電極は、プロセスガスの望ましい分配を促すことができる1枚以上の垂直方向に相隔てられた邪魔板を含むことができる。上方電極及び基板サポートは、グラファイト、シリコン、炭化シリコン、アルミニウム(例えば、陽極酸化アルミニウム)、又はそれらの組み合わせなどの、任意の適切な材料で形成することができる。上方電極アセンブリ120には、熱伝達液体源174をつなぐことができ、基板サポート150には、別の熱伝達液体源をつなぐことができる。
基板サポート150は、基板サポート150の上表面155(サポート表面)上に基板を静電クランプするための1つ以上の埋め込みクランプ電極を有することができる。基板サポート150は、RF源と、RF整合回路構成などの付随の回路構成(不図示)とによって通電することができる。基板サポート150は、好ましくは温度制御され、随意として加熱構成(不図示)を含むことができる。加熱構成の例は、参照によって本明細書に組み込まれる共同所有の米国特許第6,847,014号及び第7,161,121号に開示されている。基板サポート150は、フラットパネル又は200mm若しくは300mmのウエハなどの半導体基板をサポート表面155上で支えることができる。
基板サポート150は、基板のプラズマ処理中に基板の温度を制御するために、好ましくは、サポート表面155上で支えられている基板の下にヘリウムなどの熱伝達ガスを供給するための通路を含んでいる。例えば、ヘリウムによる裏面冷却は、基板上のフォトレジストの燃焼を阻止するのに十分な低さにウエハ温度を維持することができる。加圧ガスを基板と基板サポート表面との間の空間に導入することによって基板の温度を制御する方法が、参照によってその開示内容を本明細書に組み込まれる共同所有の米国特許第6,140,612号に開示されている。
基板サポート150は、リフトピンホール(不図示)を含むことができ、これらのホールには、リフトピンが通されて、適切なメカニズムによって垂直方向に作動させられ、基板をサポート表面155から持ち上げて、チャンバ110に対して出し入れすることができる。リフトピンホールは、約0.08インチ(おおよそ0.203センチ)の直径を有することができる。リフトピンホールの詳細は、参照によってその開示内容を本明細書に組み込まれる共同所有の米国特許第5,885,423号及び第5,796,066号に開示されている。
上方電極125内及び基板サポート150内のガスホール、ヘリウム通路、リフトピンホールなどのヴォイド空間(空洞)は、パラシティックプラズマを生じやすい。例えば、もし、RF周波数における比誘電率が異なる複数の材料層にヴォイド空間が跨る場合は、或る種の条件(例えば、ガス圧力、RF負荷、RF周波数など)下では、層間に過剰なRF電圧が発生する可能性がある。このような過剰なRF電圧(例えば、20V又はそれ以上)は、ヴォイド空間内にパラシティックプラズマを生じさせるのに十分である恐れがある。上方電極125及び基板サポート150に一般的に使用されている導電性材料には、アルミニウム、鋼、グラファイト、及びドープシリコンなどがある。処理チャンバ100内にプラズマを発生させるために処理チャンバ100にRF電力が供給されたときに、ヴォイド空間(例えばガスホール)内の露出した伝導性の内表面は、それらの付近で電場を集中させる及びパラシティックプラズマを増強させる可能性がある。圧力p及び間隔距離dの関数として表される平行平板内のガスのDC破壊電圧VBは、パッシェンの方程式:
Figure 2013538417
によって与えられる。ここで、A及びBは、ガスの性質(例えば、温度、ガス組成、及びイオン化ポテンシャル)によって決定される定数であり、γは、平行平板の材料に関連したパラメータである。AC破壊電圧は、なかでも、ガス及び集中電場に暴露されている伝導性表面の付近では、VBの10分の1もの小ささになる可能性がある。
図2は、プラズマ処理チャンバの部品200(例えば、上方電極又は基板サポート)内のヴォイド空間210の断面図を示している。部品は、非金属材料(例えば、セラミック又は非ドープシリコン)の層220と、導電性材料(例えば、アルミニウム)の層230とを含む。層220は、プラズマ処理チャンバ内でプラズマ260に暴露される表面220aと、プラズマ260に暴露されない表面220bとを有する。層230は、接着剤(例えば、シリコーンゴム)を使用するなどの適切な技術を使用して、表面220bに接合することができる。各ヴォイド空間210は、層220及び層230の全体を貫いて、プラズマ処理チャンバの内側に開かれている。層220の厚さ及び層230の厚さは、それぞれ、0.5〜5mmであることが好ましい。ヴォイド空間210は、直径が約0.02インチ(おおよそ0.0508センチ)から約0.08インチ(おおよそ0.203センチ)であることができる。層220は、例えば、アルミナ、窒化アルミニウム、非ドープシリコン、窒化シリコン、酸化シリコン、酸窒化シリコン、及び/又はイットリアで作成することができる。層230は、例えば、金属、グラファイト、及び/又はドープシリコンで作成することができる。層230は、ヴォイド空間210の内側で露出される伝導性表面235を有する。ヴォイド空間210の内側では、パラシティックプラズマ250が発生し、空間内で浸食及び/又は腐食を引き起こす可能性がある。部品200は、ベース290に取り付ける(接合する、支える、留め付ける、及び/又は接着する)ことができる。このベースは、例えば、その中の埋め込み流体チャネル290aに液体を流すことによって、温度制御することができる。このベースは、ヴォイド210と流体連通している少なくとも1つのプレナム290bも有することができる。プラズマ260を発生させるために、ベース290には、RF電力が供給可能である。層220は、その中に埋め込まれた少なくとも1つの静電チャック(ESC)電極220cを有することができる。層230には、少なくとも1つのヒータ240(例えば、薄膜ヒータ)が熱的に接触していることが好ましい。好ましくは、プラズマ処理チャンバ内に存在する高周波数における層230の導電性材料の比誘電率は、それらの高周波数における層220の非金属材料の比誘電率の少なくとも20倍の大きさである。ヴォイド空間210が30トールのヘリウムガスで満たされ、層220がおおよそ0.1インチ(おおよそ0.254センチ)の厚さであり、層230がおおよそ0.03インチ(おおよそ0.0762センチ)の厚さの接着層によって層220に接合され、部品が27MHzにおいて2500WのRF電力を受け、プラズマ260がおおよそ60Ωのインピーダンスを有する一例では、層230と表面220aとの間におおよそ15.5VのRFポテンシャルが展開し、これは、ヴォイド空間210内のヘリウムガスの破壊電圧を超えて、空間内にパラシティックプラズマ150を発生させる可能性がある。
図3に示されるような一実施形態では、ヴォイド空間210の内表面を、管状スリーブ300が内張りしている。スリーブ300は、層230よりも低い誘電率の、プラスチック(例えば、ポリエーテルエーテルケトン)又はセラミック(例えば、アルミナ)などの材料で作成されることが好ましい。好ましくは、ヴォイド空間210のうち、層220内の部分は、スリーブ300を通る通路と同じ断面形状(例えば、円、多角形、又はその他の任意の適切な形状)を有する。ヴォイド空間210は、円筒又は角柱の形状をとることができる。具体的には、もし、ヴォイド空間210が円筒形状であるならば、管状スリーブ300は、層220内のヴォイド空間210の内径に等しい内径を有する。スリーブ300の半径方向の厚さは、ヴォイド空間210内のパラシティックプラズマを抑制するのに十分であることが望ましく、好ましくは、少なくとも0.01インチ(0.0254センチ)である。好ましくは、スリーブ300は、層220と同じ材料で作成され、プラズマ260に直接暴露されない。層230の伝導性表面235がスリーブ300によって全体に覆われるように、すなわち、ヴォイド空間210内に露出された伝導性表面がないように、スリーブ300は、層230の上表面と同一平面である一方の端を有することが好ましく、もう一方の端は、層230の下表面と同一平面であることが好ましい。スリーブ300は、ベース290までは達していないことが好ましい。
スリーブ300は、接着剤や圧入などの任意の適切な手段によって部品200に固定される自立型のスリーブであることができる。しかしながら、スリーブ300は、その外表面上に、積層体200内のネジ山と合わさるネジ山を有することができる。スリーブ300は、また、任意の適切な被覆手段によってヴォイド空間210の内表面に施される被覆の形態をとることもできる。例えば、CVDやプラズマ溶射などがある。
図4に示されるような別の一実施形態では、管状スリーブ400は、上フランジ410を有することを除き、スリーブ300と同じである。フランジ410は、好ましくは、層230の上表面と同一平面である上表面を有する。スリーブ400は、層220を層230に接合する前に、ヴォイド空間210内に装着することができる。
図5は、更に別の一実施形態を示している。管状スリーブ500は、下フランジ510を有することを除き、スリーブ300と同じである。この場合は、フランジは、層230の下表面と同一平面である下表面を有する。スリーブ500は、ベース290を層230に取り付ける前に、ヴォイド空間210内に装着することができる。
本明細書で説明されるスリーブは、プラズマ処理チャンバ内でパラシティックプラズマを生じやすいキャビティ(空洞)、穴、導管、ヴォイド(空洞)、プレナム、及び/又はその他の空間に使用することもできる。スリーブは、これらの空間の伝導性内表面にちょうど嵌る形状に作成することができる。例えば、基板サポート150は、リフトピンホール内及び/又はヘリウム通路内にスリーブを有することができる。
パラシティックプラズマを排除するためのスリーブ、及び該スリーブを内側に有するプラズマ暴露積層体が、それらの具体的な実施形態に言及して詳細に説明されてきたが、当業者ならば、添付の特許請求の範囲から逸脱することなく様々な変更及び修正が加えられること並びに均等物が採用されることが可能であることが明らかである。

Claims (20)

  1. プラズマ処理チャンバの部品であって、
    非金属材料の第1の層であって、相対する第1及び第2の表面を有し、前記第1の表面は、前記プラズマ処理チャンバ内でプラズマに暴露され、前記第2の表面は、前記プラズマ処理チャンバ内でプラズマに暴露されない、第1の層と、
    導電性材料の第2の層であって、前記第1の層の前記第2の表面に接合される第2の層と、
    前記第1の層及び前記第2の層の全体に貫いて、前記プラズマ処理チャンバの内側に開かれているヴォイド空間と、
    前記第2の層が前記ヴォイド空間内に露出されないように、及び、前記ヴォイド空間内のパラシティックプラズマが阻止されるように、前記第2の層内で前記ヴォイド空間の内表面を覆う管状スリーブと、
    を備え、
    前記管状スリーブの一方の端は、前記第1の層及び前記第2の層の対向表面と同一平面にあり、前記管状スリーブのもう一方の端は、前記第2の層の下表面と同一平面にある、部品。
  2. 請求項1に記載の部品であって、
    前記管状スリーブは、前記第1の層と同じ材料で作成されている、
    前記管状スリーブは、前記第2の層よりも低い誘電率の材料で作成されている、
    前記管状スリーブは、プラスチック若しくはセラミックで作成されている、
    及び/又は、
    前記管状スリーブは、被覆である、部品。
  3. 請求項1に記載の部品であって、
    前記管状スリーブは、前記第1の層内の前記ヴォイド空間の内径に等しい内径を有する、部品。
  4. 請求項1に記載の部品であって、
    前記管状スリーブは、接着剤、固定具、及び/又は、前記管状スリーブの外表面上のネジ山によって、前記ヴォイド空間の前記内表面に固定される、部品。
  5. 請求項1に記載の部品であって、
    前記第1の層は、アルミナ、窒化アルミニウム、非ドープシリコン、窒化シリコン、酸化シリコン、酸窒化シリコン、及び/又は、イットリアで作成され、
    前記第2の層は、金属、グラファイト、及び/又は、ドープシリコンで作成される、部品。
  6. 請求項1に記載の部品であって、
    前記管状スリーブは、前記第2の層内の嵌め合い凹所に収まる外向きに突き出した上フランジ又は外向きに突き出した下フランジを有する、部品。
  7. 請求項1に記載の部品であって、
    前記管状スリーブは、少なくとも0.01インチ(0.0254センチ)の壁厚を有する、部品。
  8. 請求項1に記載の部品であって、
    前記プラズマ処理チャンバ内に存在する高周波数における前記導電性材料の比誘電率は、前記高周波数における前記非金属材料の比誘電率の少なくとも20倍である、部品。
  9. 請求項1に記載の部品であって、
    前記第2の層は、0.5〜5mmの厚さを有する、部品。
  10. 請求項1に記載の部品であって、更に、
    前記第2の層に熱的に接触している少なくとも1つのヒータを備える部品。
  11. 請求項10に記載の部品であって、
    前記少なくとも1つのヒータは、前記第2の層に埋め込まれた又は前記第2の層の底面に取り付けられた薄膜ヒータである、部品。
  12. 請求項1に記載の部品であって、
    前記部品は、上方電極若しくは基板サポートである、
    並びに/又は、
    前記ヴォイドは、ガスホール、リフトピンホール、ヘリウム通路、導管、及び/若しくはプレナムである、部品。
  13. 請求項1に記載の部品であって、
    前記第2の層は、接着剤によって前記第2の表面に接合される、部品。
  14. 請求項1に記載の部品であって、更に、
    少なくとも1つの埋め込みESC電極を備える部品。
  15. 請求項1に記載の部品であって、
    前記ヴォイド空間の内径は、0.02〜0.08インチ(おおよそ0.0508〜0.203センチ)である、部品。
  16. 請求項1に記載の部品であって、更に、
    前記第2の層に取り付けられて高周波数電力が供給されるベースを備えており、
    前記ベースは、埋め込み流体チャネルを有する、
    及び/又は、
    前記ベースは、前記ヴォイド空間と流体連通している少なくとも1つのプレナムを有する、部品。
  17. 請求項16に記載の部品であって、
    前記ベースは、前記第2の層に接合される、支えられる、留め付けられる、及び/又は、接着される、部品。
  18. 請求項1に記載の部品を備えるプラズマ処理チャンバ。
  19. 請求項1に記載の部品を製造する方法であって、
    前記第2の層が前記ヴォイド空間内で露出されないように、及び、前記ヴォイド空間内のパラシティックプラズマが阻止されるように、前記第2の層内で前記ヴォイド空間の前記内表面を覆うことと、
    前記第2の層を前記第1の層の前記第2の表面に接合することと、
    を備える方法。
  20. 請求項19に記載の方法であって、更に、
    前記第2の層にベースを取り付けることを備える方法。
JP2013521760A 2010-07-27 2011-07-18 プラズマ処理チャンバ、プラズマ処理チャンバの部品及びその製造方法 Active JP5815703B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/844,527 US9728429B2 (en) 2010-07-27 2010-07-27 Parasitic plasma prevention in plasma processing chambers
US12/844,527 2010-07-27
PCT/US2011/001270 WO2012018368A2 (en) 2010-07-27 2011-07-18 Parasitic plasma prevention in plasma processing chambers

Publications (2)

Publication Number Publication Date
JP2013538417A true JP2013538417A (ja) 2013-10-10
JP5815703B2 JP5815703B2 (ja) 2015-11-17

Family

ID=45525502

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013521760A Active JP5815703B2 (ja) 2010-07-27 2011-07-18 プラズマ処理チャンバ、プラズマ処理チャンバの部品及びその製造方法

Country Status (7)

Country Link
US (1) US9728429B2 (ja)
JP (1) JP5815703B2 (ja)
KR (1) KR101854937B1 (ja)
CN (1) CN103026799B (ja)
SG (2) SG187142A1 (ja)
TW (1) TWI662864B (ja)
WO (1) WO2012018368A2 (ja)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
JP6527524B2 (ja) * 2014-02-07 2019-06-05 インテグリス・インコーポレーテッド 静電チャックおよびその作製方法
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
TWI798594B (zh) * 2014-04-25 2023-04-11 美商應用材料股份有限公司 用於高溫應用的耐電漿腐蝕薄膜塗層
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US20180358212A1 (en) * 2015-12-09 2018-12-13 Applied Materials, Inc. System configured for sputter deposition on a substrate, shielding device for a sputter deposition chamber, and method for providing an electrical shielding in a sputter deposition chamber
EP3184073B1 (de) * 2015-12-22 2019-05-29 Intensiv SA Werkzeug zur behandlung von interdentalflächen
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020146047A1 (en) * 2019-01-08 2020-07-16 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
KR102548570B1 (ko) * 2021-07-22 2023-06-29 피에스케이 주식회사 기판 처리 장치 및 도어 어셈블리 구동 방법
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070204958A1 (en) * 2006-03-03 2007-09-06 Advanced Display Process Engineering Co., Ltd. Plasma processing apparatus
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US20090022905A1 (en) * 2007-07-20 2009-01-22 Jozef Kudela Rf choke for gas delivery to an rf driven electrode in a plasma processing apparatus
WO2009063950A1 (ja) * 2007-11-16 2009-05-22 Ulvac, Inc. カルコゲナイド膜およびその製造方法
US20090151636A1 (en) * 2007-11-16 2009-06-18 Applied Materials, Inc. Rpsc and rf feedthrough

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
DE3316837C2 (de) 1983-05-07 1986-06-26 Dornier System Gmbh, 7990 Friedrichshafen Einrichtung zur Erzeugung von Stoßwellen mittels einer Funkenstrecke für die berührungsfreie Zertrümmerung von Konkrementen in Körpern von Lebewesen
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US5129359A (en) * 1988-11-15 1992-07-14 Canon Kabushiki Kaisha Microwave plasma CVD apparatus for the formation of functional deposited film with discharge space provided with gas feed device capable of applying bias voltage between the gas feed device and substrate
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
DE4301189C2 (de) 1993-01-19 2000-12-14 Leybold Ag Vorrichtung zum Beschichten von Substraten
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5748663A (en) 1994-06-08 1998-05-05 Qsource, Inc. Retangular discharge gas laser
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US6140612A (en) 1995-06-07 2000-10-31 Lam Research Corporation Controlling the temperature of a wafer by varying the pressure of gas between the underside of the wafer and the chuck
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5885423A (en) 1996-03-29 1999-03-23 Lam Research Corporation Cammed nut for ceramics fastening
US5796066A (en) 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
DE19950922A1 (de) 1999-10-21 2001-04-26 Beru Ag Zündkerze
US6606234B1 (en) 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
JP2002110679A (ja) 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6974523B2 (en) 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
KR100427459B1 (ko) 2001-09-05 2004-04-30 주성엔지니어링(주) 아크 방지용 정전척
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
KR100505035B1 (ko) * 2003-11-17 2005-07-29 삼성전자주식회사 기판을 지지하기 위한 정전척
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP4687534B2 (ja) 2005-09-30 2011-05-25 東京エレクトロン株式会社 基板の載置機構及び基板処理装置
CN100440476C (zh) 2005-09-30 2008-12-03 东京毅力科创株式会社 基板载置机构以及基板处理装置
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
DE502005006841D1 (de) 2005-12-22 2009-04-23 Applied Materials Gmbh & Co Kg Zerstäubungsvorrichtung mit einer Rohrkathode und Verfahren zum Betreiben dieser Zerstäubungsvorrichtung
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
KR101020160B1 (ko) * 2006-03-03 2011-03-09 엘아이지에이디피 주식회사 플라즈마 처리장치
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
JP5160112B2 (ja) * 2007-03-19 2013-03-13 東京エレクトロン株式会社 処理装置内構造体、プラズマ処理装置内構造体及びプラズマ処理装置
KR101119627B1 (ko) * 2007-03-29 2012-03-07 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7667944B2 (en) 2007-06-29 2010-02-23 Praxair Technology, Inc. Polyceramic e-chuck
KR101125885B1 (ko) 2007-07-31 2012-03-22 어플라이드 머티어리얼스, 인코포레이티드 감소된 플라즈마 침투 및 아킹을 갖는 정전척을 제공하는 방법 및 장치
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
JP5174582B2 (ja) * 2007-08-30 2013-04-03 日本碍子株式会社 接合構造体
JP2009060011A (ja) * 2007-09-03 2009-03-19 Tokyo Electron Ltd 基板載置台、基板処理装置、及び温度制御方法
JP5201527B2 (ja) * 2008-03-28 2013-06-05 東京エレクトロン株式会社 静電チャック、及びその製造方法
TWI398196B (zh) 2008-07-04 2013-06-01 Au Optronics Corp 防電弧保護裝置以及其組裝方法
JP5584517B2 (ja) * 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070204958A1 (en) * 2006-03-03 2007-09-06 Advanced Display Process Engineering Co., Ltd. Plasma processing apparatus
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US20090022905A1 (en) * 2007-07-20 2009-01-22 Jozef Kudela Rf choke for gas delivery to an rf driven electrode in a plasma processing apparatus
WO2009063950A1 (ja) * 2007-11-16 2009-05-22 Ulvac, Inc. カルコゲナイド膜およびその製造方法
US20090151636A1 (en) * 2007-11-16 2009-06-18 Applied Materials, Inc. Rpsc and rf feedthrough

Also Published As

Publication number Publication date
KR20130132384A (ko) 2013-12-04
KR101854937B1 (ko) 2018-05-04
JP5815703B2 (ja) 2015-11-17
TW201220961A (en) 2012-05-16
SG187142A1 (en) 2013-02-28
WO2012018368A2 (en) 2012-02-09
WO2012018368A3 (en) 2012-04-05
US9728429B2 (en) 2017-08-08
CN103026799A (zh) 2013-04-03
US20120024449A1 (en) 2012-02-02
WO2012018368A9 (en) 2012-07-19
TWI662864B (zh) 2019-06-11
CN103026799B (zh) 2016-03-30
SG10201505834VA (en) 2015-09-29

Similar Documents

Publication Publication Date Title
JP5815703B2 (ja) プラズマ処理チャンバ、プラズマ処理チャンバの部品及びその製造方法
TWI528492B (zh) 具有減少的電弧作用之靜電夾盤
TWI515788B (zh) Plasma processing device
TWI593318B (zh) Electrode for plasma processing apparatus and plasma processing apparatus
US8636872B2 (en) Upper electrode and plasma processing apparatus
KR200479295Y1 (ko) 플라즈마 처리 챔버의 가동 기판 지지 어셈블리를 위한 소모성 격리 링
JP4935143B2 (ja) 載置台及び真空処理装置
US20150279621A1 (en) Replaceable upper chamber parts of plasma processing apparatus
JP6100564B2 (ja) 基板処理装置及び載置台
US20070068798A1 (en) Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
KR102092623B1 (ko) 플라스마 처리 장치
US20160042926A1 (en) Plasma processing apparatus and focus ring
CN102315150A (zh) 用于等离子体处理室的可移动基环
KR101842124B1 (ko) 지지 유닛, 기판 처리 장치 및 기판 처리 방법
TWI723031B (zh) 電漿處理裝置及噴頭
US20090242133A1 (en) Electrode structure and substrate processing apparatus
JP2024001248A (ja) 静電チャック(esc)ペデスタル電圧分離
JP6469985B2 (ja) プラズマ処理装置
TWI784944B (zh) 電漿處理裝置及對基體進行電漿處理的方法
KR20200001493A (ko) 플라스마 처리 장치
US20200294773A1 (en) Plasma processing method and plasma processing apparatus
TW202237870A (zh) 噴淋頭之製造方法及噴淋頭、以及電漿處理裝置
TW202209487A (zh) 基板脫離方法及電漿處理裝置
TW202034364A (zh) 噴淋頭及氣體處理裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140716

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150803

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150825

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150924

R150 Certificate of patent or registration of utility model

Ref document number: 5815703

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250