KR100797926B1 - 플라즈마에 동조된 오버헤드 rf 전극을 가진 플라즈마리액터 - Google Patents

플라즈마에 동조된 오버헤드 rf 전극을 가진 플라즈마리액터 Download PDF

Info

Publication number
KR100797926B1
KR100797926B1 KR1020027012240A KR20027012240A KR100797926B1 KR 100797926 B1 KR100797926 B1 KR 100797926B1 KR 1020027012240 A KR1020027012240 A KR 1020027012240A KR 20027012240 A KR20027012240 A KR 20027012240A KR 100797926 B1 KR100797926 B1 KR 100797926B1
Authority
KR
South Korea
Prior art keywords
plasma
stub
electrode
frequency
generator
Prior art date
Application number
KR1020027012240A
Other languages
English (en)
Other versions
KR20020081461A (ko
Inventor
다니엘 제이. 호프만
제랄드 차이야오 인
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20020081461A publication Critical patent/KR20020081461A/ko
Application granted granted Critical
Publication of KR100797926B1 publication Critical patent/KR100797926B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

본 발명의 일측면에 따르면, 플라즈마 리액터는 RF 전력 소스에 의해 구동되는 캐패시턴스 전극을 가지며,그리고 플라즈마가 지속될 수 있는 동안에 넓은 프로세스를 지원하는 전극 플라즈마 공진을 제공하기 위하여, 전극 캐패시턴스는 요망된 플라즈마 밀도와 RF 소스 주파수에서 플라즈마의 네거티브 캐패시턴스에 정합된다.

Description

플라즈마에 동조된 오버헤드 RF 전극을 가진 플라즈마 리액터{PLASMA REACTOR WITH OVERHEAD RF ELECTRODE TUNED TO THE PLASMA}
RF 플라즈마 리액터는 마이크로 전자회로를 제조하기 위해 반도체 웨이퍼를 처리하는데 이용된다. 리액터는 처리될 웨이퍼를 포함하는 챔버 내에서 플라즈마를 형성한다. 플라즈마는 챔버에 유도적 또는 용량적으로 결합된 RF 플라즈마 소스 전력의 도입에 의해 형성되고 유지된다. 챔버와 RF 소스 전력이 용량성 결합되도록, RF 소스 전력 발생기에 의해 오버헤드 전극(웨이퍼에 대향하는)에 전력이 공급된다.
이러한 리액터에 있어서의 하나의 문제점은, 보통 50 옴(Ohms)인 RF 발생기의 출력 임피던스가 전극과 플라즈마의 결합에 의해 발생되는 부하 임피던스에 정합되어야 한다는 것이다. 그렇지 않으면, 플라즈마 챔버에 전달된 RF 전력량은 플라즈마 부하 임피던스의 변동으로 인해 변하여, 플라즈마 밀도와 같은 특정 프로세스 파라미터가 요구되는 제한범위 내에서 유지될 수 없다. 플라즈마 부하 임피던스는, 프로세스가 진행함에 따라서 역동적으로 변화하는 경향이 있는 리액터 챔버 내부의 상태에 의존하기 때문에, 처리되는 동안 변동한다. 유전체 또는 금속 에칭 프로세스를 위한 최적의 플라즈마 밀도에서, 부하 임피던스는 RF 발생기의 출력 임피던스에 비하여, 매우 작으며, 웨이퍼 프로세스 동안 상당히 변화할 수 있다. 따라서, 발생기와 부하 사이의 임피던스 정합을 능동적으로 유지하기 위해 임피던스 정합 회로가 사용될 수 있다. 이러한 능동 임피던스 정합은 가변 리액턴스 및/또는 가변 주파수를 사용한다. 이러한 임피던스 정합 회로는, 플라즈마 부하 임피던스의 급격한 변화를 따르도록 충분히 민첩해야 하며, 이에 따른 이들의 복잡성으로 인하여 비교적 고가이며, 시스템 신뢰성을 감소시킬 수 있다는 문제점을 갖는다.
또 다른 문제점은, 정합회로가 임피던스 정합을 제공할 수 있는 부하 임피던스의 범위(정합 간격(match space))가 제한적이라는 것이다. 정합 간격은 시스템 Q와 관련되어 있으며, 여기서 Q=△f/f, f는 시스템의 공진 주파수, △f는 공진 진폭이 f에서 피크 공진 진폭인 6dB 내인 f의 어느 한쪽에서의 대역폭이다. 전형적인 RF 발생기는 플라즈마 임피던스가 변동함에 따라 발생기로 다시 반사되는 RF 전력이 많더라도 거의 일정한 레벨로 순방향 전력을 유지하기 위해 제한된 능력을 갖는다. 이는 일반적으로 임피던스 부정합이 증가(및, 그에 따라 반사된 전력이 증가)함에 따라, 발생기가 그 순방향 전력 레벨을 증가시키도록, 그 순방향 전력 레벨을 서보잉하는 발생기에 의해 얻어질 수 있다. 물론, 이러한 능력은 발생기가 만들어낼 수 있는 최대 순방향 전력에 의해 제한된다. 일반적으로, 발생기는 3:1 이하의 순방향 정재파 전압 대 반사파 전압의 최대 비율(즉, 전압 정재파 비율 또는 VSWR)을 처리할 수 있다. 임피던스의 차가 증가(즉, 처리 동안 플라즈마 임피던스 변동으로 인한)하여 VSWR이 3:1을 초과하면, RF 발생기는 더 이상 전달된 전력을 제어할 수 없으며, 플라즈마에 대한 제어는 상실된다. 그 결과, 프로세스는 실패하기 쉽다. 따라서, 적어도 적절한 임피던스 정합이 코일 안테나와 챔버의 결합에 의해 발생되는 부하와 RF 발생기 사이에서 유지되어야 한다. 이러한 적절한 임피던스 정합은 플라즈마 임피던스 변동의 전체 예상 가능한 범위에 대하여 3:1 VSWR 한계 내에서 발생기 출력으로 VSWR을 유지하는데 충분하여야 한다. 일반적으로 임피던스 정합 간격은 정합 회로가 3:1 또는 그 이하의 발생기 출력에서 VSWR을 유지할 수 있는 부하 임피던스의 범위이다.
관련된 문제점은, 부하 임피던스 그 자체가 챔버 압력, 소스 전력 레벨, 소스 전력 주파수 및 플라즈마 밀도와 같은 프로세스 파라미터에 매우 민감하다는 것이다. 이것은 플라즈마 리액터가 허용 불가능한 임피던스 부정합, 또는 정합 간격 밖의 부하 임피던스를 취하는 변동을 피하도록 작동되어야 하는 이러한 프로세스 파라미터(프로세스 윈도우(process window))의 범위를 제한한다. 유사하게, 비교적 좁은 프로세스 윈도우 및 이용법을 벗어나 작동될 수 있는 리액터, 또는 많은 응용예를 다룰 수 있는 리액터를 제공하는 것은 어렵다.
또 다른 관련된 문제점은 부하 임피던스가 리액터 내의 특정한 기계적 특징부의 치수와 도전율 또는 특정 재료의 유전 상수와 같이, 리액터 자체의 구성에 의하여 영향을 받는다는 것이다. (이러한 구성 아이템은, 예컨대 부유 정전 용량(stray capacitance)과 같은 부하 임피던스에 영향을 미쳐 리액터 전기 특성에 영향을 준다.) 이것은 재료 내의 제조 허용오차 및 편차로 인해 동일한 설계의 다양한 리액터 사이에서 균일성을 유지하는 것을 어렵게 한다. 그 결과, 높은 시스템 Q 및 이에 상응하는 작은 임피던스 정합 간격으로 동일한 프로세스 윈도우를 나타내거나 동일한 성능을 제공하는 동일한 설계의 임의의 두 개의 리액터를 제공하는 것은 어렵다.
또 다른 문제점은, RF 전력 소스의 비능률적인 사용이다. 플라즈마 리액터는 플라즈마에 전달된 전력량이 RF 발생기에 의해 발생된 전력보다 상당히 적은 경향이 있다는 점에서 비능률적이라고 알려져 있다. 그 결과, 발생기 용량에 있어서의 추가 비용 및 신뢰성에 대한 상충은 플라즈마 전달되는데 실제로 필요한 전력 이상의 전력을 발생시키도록 유발되어야 한다.
본 발명의 일 형태에 따르면, 플라즈마 리액터는 RF 전력 소스에 의해 구동되는 용량성 전극을 가지며, 상기 전극 캐패시턴스는 원하는 플라즈마 밀도 및 RF 소스 주파수에서 플라즈마의 네거티브 캐패시턴스에 정합되어, 플라즈마가 유지될 수 있는 넓은 프로세스 윈도우와 전극 플라즈마 공진을 제공하는 것을 특징으로 한다. 본 발명은, RF 전력 소스용 VHF 주파수의 선택이, 이전의 정합이 에칭 프로세스와 같은 특정 플라즈마 프로세스에서 유리한 플라즈마 밀도에서 실행되도록 하는 것을 특징으로 한다.
본 발명의 다른 형태에 따르면, RF 소스는 전극의 한쪽 단부에서 접속된 동조 스터브(tuning stub)를 통하여 전극-플라즈마 부하 임피던스에 임피던스 정합된다. 상기 스터브는 전극-플라즈마 결합의 공진 주파수 및/또는 RF 소스의 주파수 또는 그 근처에서 공진을 제공하는 길이를 가진다. RF 발생기는 입력 임피던스가 RF 소스 임피던스에 정합하는 스터브를 따르는 위치 또는 그 근처에서 스터브에 탭핑된다.
바람직한 실시예에 있어서, 프로세스 윈도우는 플라즈마 공진 주파수, 스터브 공진 주파수 및 RF 소스 주파수를 서로 약간 오프셋함으로써 보다 확대된다.
도 1은 본 발명의 일 실시예인 플라즈마 리액터의 측단면도.
도 2a 및 2b는 도 1의 동축 스터브 및 동축 스터브를 따르는 위치의 함수로서 전압 및 전류 정재파 진폭을 각각 나타내는 도면.
도 3은 보다 큰 정합 간격에서 보다 더 일정한 전달 VHF 전력 레벨을 유지하기 위한 바람직한 실시예의 높은 플라즈마 부하 임피던스에 응답하여 발생하는 동축 스터브 상의 입력 전력 탭 지점에서의 전류의 감소를 나타내는 도면.
도 4는 보다 큰 정합 간격에서 보다 더 일정한 전달 VHF 전력 레벨을 유지하기 위한 바람직한 실시예의 낮은 플라즈마 부하 임피던스에 응답하여 발생하는 동축 스터브 상의 입력 전력 탭 지점에서의 전류의 부가를 나타내는 도면.
도 5는 도 1의 실시예의 주파수 함수로서 낮은 Q 반사 계수를 나타내는 그래프.
도 6은 스터브 길이를 따르는 정재파 전류 및 전압을 가지는 동축 스터브 상의 입력 전력 탭 지점에서의 전류 기여의 상호 작용을 나타내는 그래프.
도 7은 도 1의 동축 스터브의 다른 실시예를 나타내는 도면이다.
도 1을 참조하면, 플라즈마 리액터는 반도체 웨이퍼(110)를 지지하는 챔버의 저부에 웨이퍼 지지부(105)를 가진 리액터 챔버(100)를 포함한다. 반도체 링(115)은 웨이퍼(110)를 둘러싼다. 반도체 링(115)은 유전체(석영) 링(120)에 의해 접지된 챔버 본체(127) 상에 지지되어 있다. 바람직한 실시예에 있어서, 이것은 두께가 10mm이며, 유전 상수는 4이다. 챔버(100)는 유전체(석영) 밀봉에 의해 접지된 챔버 본체(127) 상의 웨이퍼(110) 상부에서 예정된 갭 길이로 지지된 디스크 형상의 오버헤드 알루미늄 전극에 의해 상단에서 경계를 이루고 있다. 또한, 오버헤드 전극(125)은 그 내부 표면상에서 준금속 재료(예컨대, Si 또는 SiC)로 도포될 수 있는 금속(예컨대, 알루미늄)이거나, 또는 그 자체가 준금속 재료일 수 있다. RF 발생기(150)는 전극(125)에 RF 전력을 인가한다. 발생기(150)로부터의 RF 전력은 발생기(150)에 정합된 동축 케이블(162)을 통하여, 전극(125)에 접속된 동축 스터브(135)에 결합된다. 스터브(135)는, 이하에 보다 자세히 설명되는 바와 같이, 특성 임피던스, 공진 주파수를 가지며, 전극(125)과 동축 케이블(162)/RF 전력 발생기(150) 사이에 임피던스 정합을 제공한다. 챔버 본체는 RF 발생기(150)의 RF 리턴(RF 접지)에 접속되어 있다. 오버헤드 전극(125)으로부터 RF 접지로의 RF 경로는 반도체 링(115), 유전체 링(120) 및 유전체 밀봉부(130)의 캐패시턴스에 의해 영향을 받는다. 웨이퍼 지지부(150), 웨이퍼(110) 및 반도체 링(115)은 전극(125)에 인가된 RF 전력에 대하여 일차 RF 리턴 경로를 제공한다.
RF 리턴 또는 접지에 대하여 측정된 전극(125), 유전체 링(120) 및 유전체 밀봉(130)을 포함하는 오버헤드 전극 어셈블리(126)의 캐패시턴스는, 바람직한 실시예에서 바람직하게는 180 피코 패러드이다. 전극 어셈블리 캐패시턴스는 전극 면적, 갭길이(웨이퍼 지지부와 오버헤드 전극 사이의 간격) 및 부유 캐패시턴스에 영향을 미치는 요인, 특히 밀봉부(130) 및 유전체 링(120)의 유전체 값에 의해 영향을 받아, 사용되는 재료의 유전 상수 및 두께에 의해 영향을 받게 된다. 바람직한 실시예에서는 보다 일반적으로, 전극 어셈블리의 캐패시턴스(표시되지 않은 수치 및 스칼라)는, 이하에서 논의되는 바와 같이, 특정 소스 전력 주파수, 플라즈마 밀도 및 동작 압력에서 플라즈마의 네거티브 캐패시턴스에 대한 크기(복소수)와 동일하거나 거의 동일하다.
앞서 말한 관계식에 영향을 미치는 다수의 요인은 리액터, 웨이퍼의 크기에 의해 수행하는 데 있어 요구되는 플라즈마 프로세스 요구사항, 및 웨이퍼 상에서 균일한 프로세싱 실시의 조건의 실현으로 인해, 상당부가 예정된다. 따라서, 플라즈마 캐패시턴스는 플라즈마 밀도 및 소스 전력 주파수의 함수인 반면, 전극 캐패시턴스는 웨이퍼 지지부-대-전극 갭(높이), 전극 직경, 및 어셈블리의 절연체의 유전체 값의 함수이다. 플라즈마 밀도, 작동 압력, 갭, 및 전극 직경은 리액터에 의해 수행되는 플라즈마 프로세스의 요구조건을 만족시켜야 한다. 특히, 이온 밀도는 일정 범위 내에 있어야 한다. 예를 들어, 실리콘 및 유전체 플라즈마 에칭 프로세스는 일반적으로 플라즈마 이온 밀도가 109-1012 이온/cc 범위 내에 있도록 요구된다. 예를 들어, 갭이 약 2 인치인 경우, 웨이퍼 전극 갭은 8인치 웨이퍼에 대해 최적의 플라즈마 이온 분포 균일성을 제공한다. 적어도 전극 직경은 바람직하게 웨이퍼 직경보다 크지 않을 정도로 크다. 유사하게 작동 압력은 전형적인 에칭 및 다른 플라즈마 프로세스에 대해 실질적으로 바람직한 범위를 갖는다.
상기 바람직한 관계식을 달성하기 위해 특히 오버헤드 전극 어셈블리(126)를 위한 소스 주파수의 선택 및 캐패시턴스의 선택과 같이 선택될 수 있는 다른 요인이 남아있다. 전극에 부가된 상기 치수 제한조건 및 플라즈마에 부여된 제한조건(예를 들어, 밀도 범위) 내에서, 전극 캐패시턴스는 소스 전력 주파수가 VHF 주파수로 선택되고, 전극 어셈블리(126)의 절연체 성분의 유전체 값이 적절하게 선택되는 경우, 플라즈마의 네거티브 캐패시턴스의 크기에 정합될 수 있다. 이러한 선택은 소스 전력 주파수와 플라즈마 전극 공진 주파수 사이의 정합 또는 준정합(near match)을 달성할 수 있다.
바람직한 실시예의 일측면에 따라서, 8-인치 웨이퍼에 대해, 오버헤드 전극 직경은 약 11인치이며, 갭은 약 2인치이고, 플라즈마 밀도 및 동작 압력은 상기 설명된 것처럼 에칭 프로세스에 있어 전형적이며, 밀봉부(130)에 대한 유전체 재료는 유전상수가 9이며 1인치 정도의 두께를 갖고, 링(115)은 10인치를 약간 초과하는 내부 직경 및 약 13인치의 외부 직경을 갖고, 링(120)은 유전상수가 4이고 10mm 정도의 두께를 갖고, VHF 소스 전력 주파수는 210MHz(다른 VHF 주파수가 균일한 효과를 나타낼 수 있다)이고, 소스 전력 주파수, 플라즈마 전극 공진 주파수 및 스터브 공진 주파수는 모두 정합되거나 준정합된다.
특히, 바람직한 실시예에서, 이들 세 가지 주파수는 서로 약간 오프셋되며, 바람직하게 시스템(Q)을 감소시키는 디-튜닝(de-tuning) 효과를 달성하기 위해, 210MHz의 소스 전력 주파수, 약 200MHz의 전극-플라즈마 공진 주파수, 및 약 220MHz의 스터브 주파수를 갖는다. 시스템(Q)에서 이러한 감소는 리액터 성능이 챔버 내부 조건에서의 변화에 영향을 덜 받게 하여, 전체 프로세스가 보다 안정되게 하며 보다 넓은 프로세스 윈도우에서 실시될 수 있게 한다.
동축 스터브(135)는 전체 시스템 안정성, 넓은 프로세스 윈도우 가능성, 뿐만 아니라 다른 유용한 장점에 보다 기여할 수 있게 특별히 구성된 설계이다. 내부 실린더형 도체(140) 및 외부 동심 실린더형 도체(145)를 포함한다. 바람직하게 상대 유전상수가 1인 절연체(147)(도 1에서 평행선으로 표시)가 내부 및 외부 도체(140, 145) 사이의 공간을 채운다. 내부 및 외부 도체(140, 145)는 니켈이 코팅된 알루미늄을 형성한다. 바람직한 실시예에서, 바람직하게 외부 도체(145)는 약 4.32인치의 직경을 갖고 바람직하게 내부 도체(140)는 약 1.5인치의 직경을 갖는다. 스터브 특성(characteristic) 임피던스는 내부 및 외부 도체(140, 145)의 반경 및 절연체(147)의 유전상수에 의해 결정된다. 상기 기술된 바람직한 실시예의 스터브(135)는 65Ω의 특성 임피던스를 갖는다. 일반적으로, 스터브 특성 임피던스는 소스 전력 출력 임피던스의 약 20-40% 및 바람직하게는 약 30%를 초과한다. 스터브(135)는 일반적으로 220MHz 부근에서 정합되는 공진을 갖고 210MHz의 바람직한 VHF 소스 전력 주파수로부터 약간 옵셋되도록 약 29인치의 축방향 길이-220MHz의 1/4 파장-를 갖는다.
이하 설명되는 것처럼, RF 발생기(150)로부터 스터브(135)로 RF 전력을 인가하기 위해 스터브(135)의 축방향 길이를 따르는 특정 포인트에 탭(160)이 제공된다. 발생기(150)의 RF 전력 단자(150a) 및 RF 리턴 단자(150b)는 스터브(135) 상의 탭에 각각 내부 및 외부 동축 스터브 도체(140, 145)를 연결한다. 이러한 연결은 공지된 방식으로 발생기(150)의 출력 임피던스(전형적으로 50Ω)에 정합되는 특성 임피던스를 갖는 발생기-대-스터브 동축 케이블(162)을 통해 이루어진다. 스터브(135)의 먼 쪽 단부(135a)에서 종결되는 도체(165)는 내부 및 외부 도체(140,145)를 서로 단락시켜, 스터브(135)는 그의 먼 쪽 단부(135a)에서 단락된다. 스터브(135)의 인접한 단부(135b)(단락되지 않은 단부)에서, 외부 도체(145)는 환형의 전도성 하우징 또는 지지체(175)를 통해 챔버 본체에 연결되는 반면 내부 도체(140)는 전도성 실린더 또는 지지체(176)를 통해 전극(125)의 중심에 연결된다. 바람직하게, 바람직한 실시예에서 1.3인치의 두께를 갖고 유전상수가 9인 유전체 링(180)이 전도성 실린더(176)와 전극(125) 사이에 고정되어 이들을 분리시킨다.
바람직하게, 내부 도체(140)는 처리 가스 및 냉각제와 같은 이용물을 위한 도관을 갖는다. 이러한 구성의 주요한 장점은 전형적인 플라즈마 리액터와 달리, 가스 라인(170) 및 냉각제 라인(173)이 큰 전위차를 연계시키지 않는다는 것이다. 따라서, 이들은 상기 목적을 위해 덜 비싸고 보다 신뢰성이 있는 물질인 금속으로 구성된다. 금속 가스 라인(170)은 오버헤드 전극(125)에 또는 부근에 가스 인입구(172)를 제공하며 금속 냉각 라인(173)은 오버헤드 전극(125) 내에 냉각제 통로 또는 재킷(174)을 제공한다.
따라서 액티브 및 공명 임피던스 변형이 RF 발생기(150), 및 오버헤드 전극 어셈블리(126) 및 프로세싱 플라즈마 부하 사이에 특별히 구성된 스터브 정합에 의해 제공되어, 반사된 전력을 최소화시키며 부하 임피던스에서 넓은 변화를 수용하는 매우 광범위한 임피던스 정합 공간을 제공한다. 결과적으로, 넓은 프로세스 윈도우 및 프로세스 탄력성이 전력 사용시 미리 얻기 어려운 효율성과 함께 제공되며 또한 전형적인 임피던스 정합 장치에 대한 필요성을 최소화 또는 방지한다. 앞서 언급한 것처럼, 스터브 공진 주파수는 이상적인 정합으로부터 옵셋되어 전체 시스템(Q), 시스템 안정성 및 프로세스 윈도우 및 멀티-프로세스 능력이 보다 강화된다.
전극-플라즈마 공진 주파수 및 VHF 소스 전력 주파수 정합
상기 설명된 것처럼, 전극-플라즈마 공진 주파수에서 플라즈마 공진 및 소스 전력 주파수 및 전극-플라즈마 주파수의 정합(또는 준정합)을 위해 오버헤드 전극 어셈블리(126)를 구성한다는 것은 중요한 특징이다. 전극 어셈블리(126)는 우세한 캐패시턴스 리액턴스를 가지며, 플라즈마 리액턴스는 주파수, 플라즈마 밀도 및 다른 파라미터의 복소 함수이다. (이하 보다 상세히 설명되는 것처럼, 플라즈마는 리액턴스의 항으로 해석되며, 이는 허수 항을 수반하는 복소 함수이며 일반적으로 네거티브 캐패시턴스에 해당한다). 전극 플라즈마 공진 주파수는 전극 어셈블리(126) 및 플라즈마의 리액턴스에 의해 결정된다(캐패시터/인덕터 공진 회로의 공진 주파수로 유추하여 캐패시터 및 인턱터의 리액턴스에 의해 결정된다). 따라서, 전극-플라즈마 공진 주파수는 플라즈마 밀도에 따라, 반드시 소스 전력 주파수가 아닐 수 있다. 따라서, 본 발명의 바람직한 실시예를 실행하는 데 있어 문제점은 전극-플라즈마 공진 주파수가 플라즈마 밀도 및 전극 치수의 특정 범위에서 실제의 제한조건의 주어진 제한조건에서 소스 전력 주파수와 같거나 또는 거의 같은 플라즈마 리액턴스에서 소스 전력 주파수를 발견하는 것이다. 플라즈마 밀도(플라즈마 리액턴스에 영향을 미치는) 및 전극 치수(전극 캐패시턴스에 영향을 미침)는 일정한 프로세스 제한조건이 있기 때문에, 상기 문제는 보다 어렵다. 특히, 유전체 및 금속 플라즈마 에칭 프로세스에 있어, 플라즈마 밀도는 109-1012 이온/cc의 범위 내에 있어야 하며, 이는 플라즈마 리액턴스의 제한조건이다. 또한, 예를 들어 8-인치 직경 웨이퍼를 처리하기 위한 보다 균일한 플라즈마 이온 밀도 분포는, 웨이퍼-대-전극 갭 또는 약 2인치의 높이 및 웨이퍼 직경 정도의 크기 또는 보다 큰 차수의 전극 직경에 의해 실현되며, 이는 전극 캐패시턴스의 제한조건이다.
따라서, 바람직한 실시예의 특징에 따라, 전극의 캐패시턴스 대 플라즈마의 네거티브 캐패시턴스의 크기를 정합시킴으로써(준정합시킴으로써), 전극-플라즈마 공진 주파수 및 소스 전력 주파수는 적어도 준정합된다. 상기 열거된 일반적인 금속 및 유전체 에칭 프로세스 조건에 대해(즉, 109-1012 이온/cc의 플라즈마 밀도, 2-인치 갭 및 약 11인치 정도의 전극 직경), 소스 전력 주파수가 VHF 주파수인 경우 정합이 가능하다. 다른 조건(예를 들면, 상이한 웨이퍼 직경, 상이한 플라즈마 밀도 등)이 본 발명의 이러한 특징을 실행할 때 정합을 실현할 수 있도록 상이한 주파수 범위로 규정될 수 있다. 이하 상세히 설명되는 것처럼, 유전체 및 금속 플라즈마 에칭 및 화학적 기상 증착을 포함하는 몇 가지 주요한 분야에서 8-인치 웨이퍼를 처리하기 위한 양호한 플라즈마 프로세싱 조건하에서, 상기 설명된 플라즈마 밀도를 갖는 바람직한 실시예의 전형적인 작업 예에서 플라즈마 캐패시턴스는 -50 내지 -400 피코 패러드 사이이다. 바람직한 실시예에서, 오버헤드 전극 어셈블리(126)의 캐패시턴스는 11인치의 직경의 전극, 약 2인치의 갭 길이(전극 대 페데스탈 공간)를 사용하고, 유전상수가 9이고 두께가 1인치 정도인 밀봉부(130)용 유전체 재료, 및 유전상수가 4이고 두께가 10mm 정도인 링(120)용 유전체 재료를 선택함으로써 네거티브 플라즈마 캐패시턴스의 크기와 정합된다.
설명되는 것처럼 캐패시턴스의 정합을 가정하면, 전극 어셈블리(126)와 플라즈마의 조합은 전극(125)에 인가된 소스 전력 주파수와 적어도 준정합되는 전극-플라즈마 공진 주파수에서 공진한다. 본 발명자들은 양호한 에칭 플라즈마 프로세싱 방법, 환경 및 플라즈마에 대해, 이러한 전극-플라즈마 공진 주파수 및 소스 전력 주파수는 VHF 주파수에서 정합 또는 준정합될 수 있다는 것을 발견했다; 이러한 주파수 정합 또는 준정합이 실행되는 것은 매우 유리하다. 바람직한 실시예에 있어서, 플라즈마 네거티브 캐패시턴스에 대해 상기 개시된 값에 대응하는 전극-플라즈마 공진 주파수는, 이하에 보다 상세하게 설명되는 바와 같이, 대략 200MHz이다. 소스 전력 주파수는 210MHz 이며, 준-정합(near-match)에서 소스 전력 주파수는, 이하에 논의되는 다른 이점들을 실현하기 위해 전극-플라즈마 공진 주파수 이상으로 약간 오프셋된다.
플라즈마 캐패시턴스는 다른 것들 중에서, 플라즈마 전자 밀도의 함수이다. 이것은 일반적으로 109 내지 1012 이온/cc의 범위 내에서 보존될 양호한 플라즈마 처리 조건을 제공하기 위하여 필요한 플라즈마 이온 밀도에 관련되어 있다. 이러한 밀도는 소스 전력 주파수 및 다른 변수들과 함께 플라즈마 네거티브 캐패시턴스를 결정하며 그 선택은, 이하에 보다 상세하게 설명되는 바와 같이, 플라즈마 처리 상태를 최적화할 필요성에 의해 제한된다. 그러나 오버헤드 전극 어셈블리 캐패시턴스는 많은 물리적 요인, 예를 들면 갭 길이(전극(125)과 웨이퍼 사이의 간격); 전극(125)의 면적; 전극(125)과 접지된 챔버 본체(127) 사이의 유전체 밀봉부(130)의 유전 상수의 선택; 반도체 링(115)과 챔버 본체 사이의 유전체 링(120)에 대한 유전 상수의 선택 및 밀봉부(130)와 링(120)의 유전체 구조물의 두께 및 링(180)의 유전 상수 및 두께에 의해 영향을 받는다. 이것은 오버헤드 전극 캐패시턴스에 영향을 미치는 다른 물리적 요인과 이들 사이에서 행해진 선택을 통하여 전극 어셈블리 캐패시턴스의 몇 가지 조정을 허용한다. 본 발명자들은 이들 조정의 범위가 오버헤드 전극 어셈블리 캐패시턴스의 네거티브 플라즈마 캐패시턴스 크기의 정합에 필요한 정도의 정합을 달성하는데 충분하다는 것을 발견하였다. 특히, 밀봉부(130) 및 링(120)에 대한 유전체 재료와 치수는 요구되는 유전 상수와 그에 따른 유전체 값을 제공하도록 선택된다. 그리고 나서, 전극 캐패시턴스에 영향을 주는 여러 물리적 요인, 특히 갭 길이가 이하의 실제적인 문제에 의해 규정되거나 제한될 수 있다는 사실에도 불구하고 전극 캐패시턴스와 플라즈마 캐패시턴스의 정합은 실현될 수 있다. 상기 실제적인 문제는 더 큰 직경의 웨이퍼 취급에 대한 요구; 웨이퍼의 전체 직경상에 플라즈마 이온 밀도의 분배의 양호한 균일성을 제공하는 것; 및 이온 밀도 대 이온 에너지의 양호한 제어 등이다.
바람직한 실시예에서, 상기에서 설명한 바와 같이, 플라즈마 에칭 처리에 적합한 플라즈마 이온 밀도에 대해, 그리고 8인치 웨이퍼 처리에 적합한 챔버 크기에 대해, 전극 어셈블리(126)용 캐패시턴스는 11인치의 전극 직경, 대략적으로 2인치의 갭 길이 및 9의 유전 상수를 갖는 상기 밀봉부(130)를 위한 재료 및 4의 유전 상수를 갖는 상기 링(120)에 대한 재료를 사용하여, -50 내지 -400 피코 패러드의 플라즈마 캐패시턴스가 달성되었다.
상기 플라즈마 캐패시턴스와 상기 정합 오버헤드 전극 캐패시턴스에 대한 전술한 범위가 주어지면, 전극-플라즈마 공진 주파수는 210㎒의 소스 전력 주파수에 대하여 대략적으로 200㎒였다.
이러한 방식으로 전극 어셈블리(126)의 캐패시턴스를 선택하고, 그리고 나서 그에 따른 전극-플라즈마 공진 주파수와 소스 전력 주파수 정합에 따른 큰 이점은 상기 소스 전력 주파수에 인접한 전극과 플라즈마의 공진은 더 넓은 임피던스 정합과 더 넓은 프로세스 윈도우 및 결과적으로 프로세스 조건의 변화가 없어지고, 그러므로 더 큰 성능 안정성을 제공한다는 것이다.
상기 전체적인 처리 시스템은, 예를 들면 플라즈마 임피던스의 변화와 같은 동작 조건들의 변화들에 덜 민감하고, 이로써 더 넓은 범위의 처리 응용성을 보다 확실히 갖게 된다. 본 명세서에서 이하 논의될 바와 같이, 이러한 장점은 상기 전극-플라즈마 공진 주파수와 상기 소스 전력 주파수 사이의 작은 오프셋에 의해 보다 강화된다.
왜 플라즈마는 음의 캐패시턴스를 갖는가:
상기 플라즈마의 캐패시턴스는 플라즈마의 전기적 유전율(ε)에 의해 정의되며, 이는 복소수이고 자유 공간의 전기적 유전율(ε0), 플라즈마 전자 주파수(ωpe), 소스 전력 주파수(ω) 및 전자-중성 충돌 주파수(νen)의 함수로 하기의 식을 따른다:
ε= ε0[1-ωpe 2/(ω(ω+ iνen))], 여기서 i = (-1)1/2
(플라즈마 전자 주파수(ωpe)는 플라즈마 전자 밀도의 간단한 함수이고 이는 플라즈마 처리 분야에 공지된 정의이다.)
일 실시예에서, 상기 중성의 종은 아르곤이고, 상기 플라즈마 전자 주파수는 약 230 MHz이며, 상기 RF 소스 전력 주파수는 충분한 RF 전력이 인가된 10 mT 내지 200 mT의 범위의 챔버 압력에서 약 210 MHz이며 상기 플라즈마 밀도는 109 내지 1012 cc-1의 범위 내에 있다. 플라즈마 에칭 프로세스에 대해 전형적인 이러한 조건하에서, 일반적으로 플라즈마는 네거티브 캐패시턴스를 가지며, 이는 상기 식에 의해 정의된 플라즈마의 유효 전기적 유전율이 네거티브이기 때문이다. 이런 조건들 하에서, 상기 플라즈마는 -50 내지 -400 피코 패러드의 네거티브 캐패시턴스를 갖는다. 다음 보다 일반적인 용어에서 볼 수 있듯이, 플라즈마 전자 밀도의 함수로서(뿐만 아니라 소스 전력 주파수 및 전자-중성 충돌 주파수의 함수로서) 플라즈마 캐패시턴스는 소정의 원하는 범위에서 유전체 에칭, 금속 에칭 및 CVD와 같은 주요한 분야에 대한 양호한 플라즈마 프로세스 실현에 의해 일반적으로 제한되는 경향이 있으며, VHF 소스 전력 주파수에서 네거티브 값을 갖는다. 플라즈마의 이러한 특성을 이용함으로써, 본 발명의 전극 캐패시턴스 정합 및 주파수-정합 특성은 이전에는 불가능했던 프로세스 윈도우 가능성 및 프로세스 탄력성 및 안정성을 달성한다.
스터브(135)에 의해 제공되는 임피던스 변환
스터브는 RF 발생기(150)의 50Ω출력 임피던스와 전극 어셈블리(126)와 챔버내의 플라즈마의 조합에 의해 발생되는 부하 임피던스 사이의 임피던스 변환을 제공한다. 이러한 임피던스 정합을 위해, 발생기-스터브 연결부 및 스터브-전극 연결부에서 RF 전력의 반사는 거의 없거나 없어야 한다(RF 발생기(150)의 VSWR 범위를 초과하지 않아야 한다). 이를 어떻게 달성하는지를 설명한다.
발생기(150)의 원하는 VHF 주파수 및 플라즈마 에칭 프로세스를 위한 적절한 플라즈마 밀도 및 챔버 압력에서(즉, 각각 109-1012 이온/cm3 및 10mT-200mT), 플라즈마 자체의 임피던스는 약 (0.3+(i)7)Ω이고, 여기서 0.3은 플라즈마 임피던스의 실수부이고, i=(-1)1/2, 및 7은 플라즈마 임피던스의 허수부이다. 전극-플라즈마 조합으로 표시되는 부하 임피던스는 이러한 플라즈마 임피던스 및 전극 어셈블리(126)의 캐패시턴스의 함수이다. 상기 설명된 것처럼, 전극 어셈블리(126)의 캐패시턴스는 약 200MHz의 전극-플라즈마 공진 주파수를 갖는 플라즈마와 전극 어셈블리(126) 사이에 공진을 달성하도록 선택된다. 스터브-전극 인터페이스에서 RF 전력의 반사는 최소화되거나 방지되며, 이는 스터브(135)의 공진 주파수가 전극-플라즈마 공진 주파수에 있거나 또는 그 부근에 있어 2개가 적어도 서로 거의 공진되기 때문이다.
동시에, 발생기-스터브 인터페이스에서 RF 전력의 반사가 최소화되거나 방지되며, 이는 스터브(135)의 축방향 길이를 따르는 탭(160)의 위치가, 탭(160)에서, 스터브(135)에 있는 정재파 전압 대 정재파 전류의 비율이 발생기(150)의 출력 임피던스 및 케이블(162)의 특성 임피던스(모두 약 50Ω)와 가깝기 때문이다. 탭(160)이 이를 달성하기 위해 어떻게 위치되는지를 설명한다.
스터브 탭(160)의 축방향 위치
동축 스터브(135)의 축방향 길이는 상기 설명된 것처럼, 전극-플라즈마 공진 주파수에 가까운 "스터브" 주파수(예를 들어, 220MHz)의 1/4 파장의 배수이다. 바람직한 실시예에서, 이러한 배수는 2이며, 동축 스터브 길이는 "스터브" 주파수의 약 1/2 파장, 또는 약 29인치이다.
탭(160)은 스터브(135) 길이를 따르는 특정 축방향 위치에 위치된다. 이러한 위치에서, 발생기(150)의 출력 주파수에서 RF 신호의 정재파 전압과 정재파 전류의 크기간의 비율은 RF 발생기(150)의 출력 임피던스(약 50Ω)와 정합하는 입력 임피던스에 해당한다. 이는 도 2a 및 도 2b에 도시되며, 스터브(135)에서 정재파 전압 및 전류는 단락된 외부 스터브 단부(135a)에서 각각 널(null)과 피크를 갖는다. 탭(160)을 위한 바람직한 위치는 단락된 단부로부터 안쪽으로 간격(A)에 있고, 여기서 정재파 전압과 전류의 비율은 50Ω에 해당된다. 이러한 위치는 실험 측정 통해 당업자에 의해 쉽게 검출되며, 정재파 비율은 50Ω이다. RF 발생기 출력 임피던스(50Ω)에 정합을 제공하는 탭(160)의 간격 또는 위치(A)는, 이후 상세히 설명되는 것처럼, 스터브(135)의 특성 임피던스의 함수이다. 탭(160)이 간격(A)에 정확히 위치되는 경우, 임피던스 정합 공간은 부하 임피던스의 실수부에서 9:1 변화를 허용하며, RF 발생기가 전형적인 형태의 것인 경우, 3:1 전압 정재파 비율(VSWR) 이상의 일정한 인가 전력을 유지할 수 있다.
바람직한 실시예에서, 임피던스 정합 공간은 부하 임피던스의 실수부에서 약 60:1 변화를 허용하도록 상당히 넓어진다. 이러한 극적인 결과는 동축 스터브(135)의 단락된 외부 단부(135a)를 향하는 위치(A)에서 정확한 50Ω포인트로부터 탭(160)을 약간 이동시킴으로써 달성된다. 이러한 이동은 바람직한 실시예에서 바람직하게 파장의 5%이다(약 1.5인치). 약간 이동된 탭 위치에서, 탭(160)의 RF 전류 기여도는 스터브에서의 전류로 감소 또는 증가될 수 있으며, 이는 플라즈마 부하 임피던스의 변동을 보상하도록 적절하게 이용되며, 이는 이하 도 3 및 도 4를 참조로 설명된다. 이러한 보상은 부하 임피던스의 실수부에서 9:1 스윙을 60:1 스윙으로 허용하는 것에서부터 정합 공간을 증가시키기에 충분하다. 즉, 정합 공간 증가율은 6 이상이다.
탭 포인트가 A "정합" 위치로부터 멀리 이동됨에 따라, 스터브(135)의 정재파 전류 위상의 성향으로 인해, 이러한 특성이 전극-플라즈마 부하 임피던스를 갖는 임피던스 부정합에 보다 민감해지는 것을 알 수 있다. 상기 설명된 것처럼, 전극 어셈블리(126)는 공칭 동작 조건하에서 플라즈마의 네거티브 캐패시턴스와 정합된다. 이러한 캐패시턴스는 바람직한 VHF 소스 전력 주파수(210MHz)에서 -50 내지 -400 피코 패러드이다. 이런 캐패시턴스에서, 플라즈마는 (0.3 + i7)Ω의 플라즈마 임피던스를 나타낸다. 따라서, 0.3Ω은 시스템이 동조되는 플라즈마 임피던스의 실수 부분이다. 플라즈마 상태가 변동될 때, 플라즈마 캐패시턴스 및 임피던스는 공칭 값에서 멀리 벗어나게 변동된다. 전극(125)이 정합되는 캐패시턴스로부터 플라즈마 캐패시턴스가 변동되면, 전극 플라즈마 공진 위상은 변화하여 스터브(135) 전류의 위상에 영향을 미친다. 따라서, 스터브 정재파 전류의 위상이 이동하기 때문에, 탭(160)에 공급된 RF 발생기 전류는 위상 이동 방향에 따라 스터브 정재파 전류에 부가되거나 상기 정재파 전류부터 감소 될 것이다. A에서 50Ω위치로부터의 탭(160)의 변위는 파장의 소정 분률(예를 들어, 5%)로 제한된다.
도 3은 플라즈마 임피던스의 실수 부분이 플라즈마 변동으로 인해 증가할 때 스터브(135)의 정재파 전류를 도시한다. 도 3에서, 전류 정재파 진폭은 스터브(135)를 따라 축 위치의 함수로서 도시된다. 수평축 상의 위치(0.1)에서 정재파 전류 진폭의 불연속성은 탭(160)의 위치에 대응한다. 도 3의 그래프에서, 임피던스 부정합은 플라즈마 임피던스의 실수 부분이 시스템이 턴되는(즉, 전극 캐패시턴스가 네거티브 플라즈마 캐패시턴스와 정합하는) 공칭 플라즈마 임피던스 이상으로 높아지기 때문에 발생한다. 이런 경우, 탭(160)에서의 전류는 스터브(135)의 정재파 전류로부터 감소된다. 이런 감소는 도 3의 그래프에서 불연속성 또는 널(null)을 발생시키고, 전달된 전력을 감소시켜서 증가된 부하를 오프셋시킨다. 이것은 보다 높은 부하(R)로 인해 전달된 전력(I2R)의 대응하는 증가를 방지한다.
도 4는 플라즈마 임피던스의 실수 부분이 감소될 때 스터브(135)의 정재파 전류를 도시한다. 도 4에서, 전류 정재파 진폭은 스터브(135)를 따라 축 위치의 함수로서 도시된다. 위치(0.1)에서 정재파 전류 진폭의 불연속성은 탭(160)의 위치를 나타낸다. 도 4의 그래프에서, 플라즈마 임피던스의 실수 부분은 시스템이 턴되는 공칭 플라즈마 임피던스보다 낮다. 이런 경우, 탭(160)에서의 전류는 스터브(135)의 정재파 전류에 부가된다. 이런 부가는 감소된 부하(R)로 인한 전달된 전력(I2R)의 감소를 방지하기 위하여, 감소된 부하가 오프셋되도록 전달된 전력을 증가시킨다. 이러한 보상으로 인해, 부하 임피던스의 보다 큰 변화가 이루어질 수 있고 따라서 정합 공간이 크게 증가된다.
부하 임피던스의 실수 부분의 60:1 스윙을 수용하기 위한 정합 공간의 이런 확장은 프로세스 윈도우 및 리액터 신뢰성을 향상시킨다. 이것은 특정 처리 또는 애플리케이션 동안 동작 조건이 변형되거나, 리액터가 상이한 애플리케이션을 위하여 다른 동작 방법으로 동작함으로써, 플라즈마 임피던스가 임피던스의 실수 부분을 크게 변경시키기 때문이다. 종래 기술에서, 이러한 변화는 시스템에 사용된 통상적인 정합 회로의 범위를 쉽게 초과할 수 있어서, 전달된 전력은 더 이상 실행 가능한 처리를 지원하기에 충분하게 제어될 수 없고, 상기 처리는 결함을 갖는다. 본 발명에서, 전달된 전력이 목표된 레벨 이상에서 유지될 수 있는 부하 임피던스의 실수 부분의 범위는 증가되어 이전에 처리 결함을 유발한 플라즈마 임피던스 변화는 본 발명의 이런 측면에 사용되는 리액터에 거의 또는 전혀 영향을 미치지 못한다. 따라서, 본 발명은 리액터가 특정 처리 또는 애플리케이션 동안 동작 조건의 보다 큰 변화를 견딜 수 있게 한다. 선택적으로, 리액터는 보다 넓은 처리 조건 범위, 및 상당한 장점을 포함하는 많은 다른 애플리케이션에서 사용될 수 있다.
다른 장점으로서, 이렇게 보다 넓어진 임피던스 정합을 제공하는 동축 스터브(135)는 통상적인 임피던스 정합 장치의 가변 캐패시터/서보 또는 가변 주파수/ 서보 같은 "이동부"를 가지지 않는 간단한 수동 장치이다. 따라서, 상기 스터브는 대체될 임피던스 정합 장치보다 싸고 보다 신뢰적이다.
프로세스 윈도우를 넓히기 위한 동작 및 공진 주파수 디튜닝(De-Tuning)
바람직한 실시예의 다른 측면에 따라, 시스템(Q)은 스터브 공진 주파수, 전극 플라즈마 공진 주파수 및 플라즈마 소스 전력 주파수를 서로 약간 오프셋함으로써 프로세스 윈도우를 넓히기 위하여 감소된다. 상기된 바와 같이, 스터브 공진 주파수는 스터브(135)의 축방향 길이가 반파장인 주파수이고, 전극 플라즈마 공진 주파수는 전극 어셈블리(126) 및 플라즈마가 서로 공진하는 주파수이다. 바람직한 실시예에서, 스터브(135)는 공진 주파수가 220 MHz인 길이로 절단되고, RF 소스 전력 발생기(150)는 210 MHz에서 동작하도록 선택되어 결과적인 전극 플라즈마 공진 주파수는 약 200 MHz이다.
플라즈마 공진을 위해 3개의 주파수가 동일한 것보다 플라즈마 공진, 스터브 공진 및 소스 전력 주파수를 위해 3개의 다른 주파수를 선택함으로써, 시스템은 다소 "디튜닝"된다. 그러므로 더욱 낮은 "Q"를 가진다. 보다 높은 VHF 소스 전력 주파수의 사용은 (바람직한 에칭 동작 조건하에서 전극 및 플라즈마 캐패시턴스의 정합을 용이하게 하는 것 외에) 또한 Q를 비례적으로 감소시킨다.
시스템 Q를 감소시키는 것은 시스템의 임피던스 정합 공간을 넓혀, 그 성능은 제조 허용 오차로부터 플라즈마 조건의 변화 또는 변동에 민감하지 않게 된다. 예를 들어, 전극 플라즈마 공진은 플라즈마 조건의 변동으로 인해 변동될 수 있다. 보다 작은 Q로 인해, 임피던스 정합(본 명세서에서 앞에서 기술된 바와 같은)을 위해 필요한 스터브(135)와 전극 플라즈마 조합 간의 공진은 플라즈마 전극 공진의 주어진 변화에 대해 보다 적게 변화한다. 결과적으로, 플라즈마 조건의 변동은 임피던스 정합에 덜 영향을 미친다. 특히, 플라즈마 동작 조건의 주어진 변동은 RF 발생기(150) 출력에서 VSWR을 보다 작게 증가시킨다. 따라서, 리액터는 보다 넓은 윈도우의 플라즈마 처리 조건(압력, 소스 전력 레벨, 소스 전력 주파수, 플라즈마 밀도 등)에서 동작할 수 있다. 게다가, 제조 허용오차는 비용을 절감시키도록 완화될 수 있고 동일한 모델 설계의 리액터중 보다 균일한 성능이 달성되고, 이것은 상당한 장점이다. 관련된 장점은 동일한 리액터가 충분히 넓은 프로세스 윈도우를 가질 수 있어서 금속 에칭, 유전체 에칭 및/또는 화학 기상 증착 같은 여러 처리 방법 및 여러 애플리케이션에 유용하다는 것이다.
프로세스 윈도우를 넓히기 위하여 스터브 특성 임피던스 최소화
동조 공간을 넓히거나 시스템 Q를 감소시키는 다른 선택은 스터브(135)의 특성 임피던스를 감소시키는 것이다. 그러나 스터브 특성 임피던스는 바람직하게 발생기 출력 임피던스를 초과하여, 적당한 정합 공간을 보존시킨다. 그러므로 바람직한 실시예에서, 시스템 Q는 바람직하게 스터브(135)의 특성 임피던스가 신호 발생기(150)의 출력 임피던스를 초과하는 양을 감소시키는 범위까지 감소된다.
동축 스터브(135)의 특성 임피던스는 내부 및 외부 도체(140, 145)의 반경과 이들 사이의 절연체(147)의 유전 상수의 함수이다. 스터브 특성 임피던스는 플라즈마 전력 소스(150)의 출력 임피던스와 전극(135)에서의 입력 임피던스 사이의 필수적인 임피던스 변화를 제공하도록 선택된다. 이런 특성 임피던스는 최소 특성 임피던스 및 최소 특성 임피던스 사이에 놓인다. 스터브(135)의 특성 임피던스를 변화시키는 것은 도 2의 파형을 변화시키고 그러므로 탭(160)의 목표된 위치(즉, 스터브(135)의 먼쪽 단부로부터의 변위 A)를 변화시킨다. 스터브(135)의 허용 가능한 최소 특성 임피던스는 도 2의 거리 A가 영이 되어, 탭(160)이 정재파 전류와 전압 사이에서 50 옴의 비율을 나타내도록 전극(125)에 대향하는 동축 스터브(135)의 먼쪽 단부(135a)상에 배치되어야하는 임피던스이다. 스터브(135)의 허용가능한 최대 특성 임피던스는 도 2의 거리 A가 스터브(135)의 길이와 동일하여, 탭(160)이 정재파 전류 및 전압 사이 50 옴의 반경을 나타내도록 전극(125)에 인접한 동축 스터브(135)의 인접한 단부(135b)에 배치되어야 하는 임피던스이다.
초기의 바람직한 실시예에서, 동축 스터브 특성 임피던스는 적당한 정합 공간을 제공하기 위하여 RF 발생기(150)의 출력 임피던스보다 (약 30%) 크도록 선택된다. 스터브 임피던스는 임피던스 정합 조건이 Zgen = α2[Zstub 2/rplasma]를 만족하도록 탭 포인트(160)의 위치를 선택함으로써 달성되기 때문에 RF 발생기 출력 임피던스를 초과하여야 하고, 여기서 α는 탭 포인트의 위치에 의해 결정되고 영과 1 사이에서 변화한다. (α는 먼쪽 단부(135b)와 탭(160) 사이의 스터브(135)의 작은 부분의 인덕턴스 대 전체 스터브(135)의 인덕턴스 비율에 대응한다). α가 1을 초과할 수 없기 때문에, 스터브 특성 임피던스는 상기 식에 대한 해결책을 발견하도록 발생기 출력 임피던스를 초과하여야 한다. 그러나 시스템의 Q는 스터브 특성 임피던스에 직접적으로 비례하기 때문에, 스터브 특성 임피던스가 발생기 출력 임피던스를 초과하는 양은 바람직하게 실제보다 낮은 Q를 유지하도록 다소 최소화된다. 본 실시예에서, 스터브 특성 임피던스는 발생기 출력 임피던스의 약 15Ω만큼만을 초과한다.
그러나 다른 실시예에서, 동축 스터브 특성 임피던스는 임피던스 정합시 일부 감소로 보다 큰 전력 효율을 달성하기 위해 플라즈마 전력 소스(발생기) 출력 임피던스보다 작게 선택될 수 있다.
스터브의 임피던스 변환에 의해 제공되는 증가된 전력 효율
본 명세서에서 앞서 설명된 것처럼, 플라즈마 에칭 프로세스에 바람직한 플라즈마 작동 조건(예를 들어, 플라즈마 밀도)은 매우 작은 실수(저항성)부(예를 들어, .3Ω이하) 및 작은 허수(반응성)부(예를 들어, 7Ω)를 갖는다. 시스템의 조합 전극-플라즈마 면적에서 캐패시턴스는 상당히 감소되며, 이는 전극 캐패시턴스가 리액터 부분에서 전력 흐름에 주된 임피던스이기 때문이다. 따라서, 전극-플라즈마 조합에 대한 전력 손실은 전극-플라즈마 조합의 전압에 비례한다. 반대로, 유도성 및 저항 손실이 스터브(135)에서 우세하며, 이는 스터브(135)의 인덕턴스 및 저항이 스터브(135)에서 전력 흐름에 임피던스의 주된 요소이기 때문이다. 따라서, 스터브(135)에서 전력 손실은 스터브에서의 전류에 비례한다. 스터브 특성 임피던스는 전극-플라즈마 조합으로 표시되는 임피던스의 실수부보다 상당히 크다. 따라서, 높은 임피던스 스터브(135)에서, 전압은 높고 전류는 낮은 임피던스 플라즈마에서 보다 낮고, 전류는 높고 전압은 낮다. 따라서, 스터브(135)와 플라즈마-전극 조합 사이의 임피던스 변형은 스터브(135)에서 높은 전압 및 낮은 전류를 산출하며(저항성 및 유도성 손실이 우세하고 이들이 최소화되는 경우) 플라즈마/전극에서 유사하게 전압은 낮고 전류는 높다(용랑성 손실이 우세하고 이들이 최소화되는 경우). 이런 방식으로 시스템에서 전체 전력 손실이 최소화되어 전력 효율이 크게 개선되며, 상당한 장점이 된다. 바람직한 실시예에서, 전력 효율은 약 95% 또는 그 이상이다.
따라서, 본 발명에 따라 상기 설명된 것처럼 구성된 스터브(135)는 동작 조건의 상당히 넓은 범위 또는 윈도우에 대해 발생기와 전극-플라즈마 임피던스 사이에 임피던스 정합 또는 변형을 제공할 뿐만 아니라, 추가로 전력 효율에서 상당한 개선을 제공한다.
크로스-그라운딩(Cross-Grounding)
웨이퍼 표면에서 이온 에너지는 플라즈마 밀도/오버헤드 전극 전력과 독립적으로 제어될 수 있다.
이온 에너지의 독립적인 제어는 HF 주파수 바이어스 전력 소스를 상기 웨이퍼에 인가함으로써 달성된다. 이러한 주파수(대개 13.56 MHz)는, 플라즈마 밀도를 제어하는 오버헤드 전극에 인가된 VHF 전력보다 훨씬 낮다. 바이어스 전력은 종래의 임피던스 정합 회로(210)를 통하여 웨이퍼 지지부(105)에 결합된 바이어스 전력 HF 신호 발생기(200)에 의해 웨이퍼로 인가된다. 바이어스 발생기(200)의 전력 레벨은 웨이퍼 표면 주변 부근에서 이온 에너지를 제어하고 일반적으로 플라즈마 소스 전력 발생기(150)의 전력 레벨의 일부이다.
위에서 언급된 바와 같이, 동축 스터브(135)는 내부와 외부 동축 스터브 도체들(140, 145) 사이에 단락 회로를 제공하는 외부 스터브 단부에 단락 도체(165)를 포함한다. 단락 도체(165)는 도 2에서와 같이 VHF 정재파 전류 피크와 VHF 정재파 전압 널(null)의 위치를 설정한다. 그러나, 모두 VHF 소스 전력 주파수에 있거나 그 부근에 있는 스터브 공진과 플라즈마/전극 공진의 결합 때문에, 단락 도체(165)는 VHF가 인가된 전력으로부터 단락되지 않는다. 그러나, 도체(165)는 웨이퍼에 인가된 HF 바이어스 전력 소스(상기 HF 바이어스 발생기(200)로부터의 전력 소스)와 같은 다른 주파수들에 대한 그라운드에 대해 직접적인 단락으로서 나타난다. 또한 플라즈마 외장 내에서 발생된 VHF 소스 전력 주파수의 고조파들과 같이 더 높은 주파수들을 단락시킨다.
웨이퍼와 웨이퍼 지지부(205)의 조합, HF 임피던스 정합 회로(210) 및 그에 연결된 HF 바이어스 전력 소스(200)는 매우 낮은 임피던스를 제공하거나 오버헤드 전극에 인가된 VHF 전력에 대해 접지로 거의 단락다. 결과적으로, 시스템은 교차 접지되고, HF 바이어스 신호는 오버헤드 전극(125)과 단락된 동축 스터브(135)를 통해 접지로 복귀되며, 오버헤드 전극(135) 상의 VHF 전력 신호는 웨이퍼를 통한 매우 낮은 임피던스 경로(VHF에 대한 경로), 상기 HF 바이어스 임피던스 정합(210) 그리고 상기 HF 바이어스 전력 발생기(200)를 통하여 접지로 복귀된다.
웨이퍼의 평면과 오버헤드 전극(125)의 평면 사이의 챔버 측벽의 노출된 부분은 전극(125)의 넓은 면적과 상대적으로 작은 전극-대-웨이퍼 갭으로 인해 오버헤드 전극(125)으로 인가된 VHF 전력에 대해 직접적인 리턴 경로로서 거의 또는 전혀 역할을 하지 않는다. 사실상, 챔버의 측벽은 자기 절연(magnetic isolation) 또는 유전체 코팅 또는 환형의 유전체 삽입 또는 제거가능한 라이너를 사용하여 플라즈마로부터 고립될 수 있다.
측벽과 같은 챔버(100)의 다른 부분들로부터 멀어지고, 수직의 전극-대-페데스탈 경로 내에서 오버헤드 전극(125)으로부터 방출되는 VHF 플라즈마 소스 전력의 전류 흐름을 제한하기 위하여, 웨이퍼(110)의 평면 내에 유효 접지 또는 리턴 전극 면적이 웨이퍼 또는 웨이퍼 지지부(105)의 물리적인 면적 이상으로 확장되어, 오버헤드 전극(125)의 면적을 초과한다. 이것은 일반적으로 웨이퍼(110)와 동일 평면 상에 있고 웨이퍼(110)를 둘러싸는 환형의 반도체 링(115)의 제공에 의해 달성된다. 반도체 링(115)은 접지된 챔버 본체에 부유 캐패시턴스(stray capacitance)를 제공함으로써 오버헤드 전극으로부터의 VHF 전력 신호에 대해 웨이퍼(110)의 평면 내의 "리턴" 전극의 효율적인 반경을 연장한다. 반도체 링(115)은 유전체 링(120)에 의해 접지된 챔버 본체로부터 절연된다. 링(120)의 두께와 유전 상수는 웨이퍼(110) 및 반도체 링(115)을 통하여 VHF 접지 전류의 바람직한 비율을 달성하도록 선택된다. 바람직한 실시예에서, 유전체 링(120)은 9의 유전 상수를 갖는 석영이고 10 mm의 두께를 갖는다.
웨이퍼의 표면과 전극(135) 사이의 수직의 경로 내에서 바이어스 발생기(200)로부터의 HF 플라즈마 바이어스 전력으로부터의 전류 흐름을 제한하고 챔버의 다른 부분들(예를 들면, 측벽)으로의 전류 흐름을 피하기 위해, 오버헤드 전극(135)은 상기 웨이퍼 또는 웨이퍼 지지부(105)의 면적보다 훨씬 큰 유효 HF 복귀 전극 면적을 제공한다. 웨이퍼 지지부(105)의 평면 내의 반도체 링(115)은 챔버속으로 HF 바이어스 전력을 결합시킬 때 중요한 역할을 하지 않기 때문에, HF 바이어스 전력을 결합시키기 위한 유효 전극 면적은 웨이퍼와 웨이퍼 지지부(105)의 면적에 실질적으로 제한된다.
플라즈마 안정성의 개선:
본 발명의 바람직한 실시예에서, 플라즈마 안정성은 스터브(135)의 후면에서 내부 및 외부 스터브 도체들(140, 145)에 대해 연결된 단락 도체(165)와 플라즈마의 D.C. 결합을 제거함으로써 개선된다. 이것은 동축 스터브 내부 도체(140)와 전극(125) 사이의 얇은 캐패시턴스 링(180)의 제공에 의해 달성된다. 도 1의 실시예에서, 링(180)은 바닥 상의 전극(125)과 전도성 환형의 내부 하우징 지지부(176) 사이에 샌드위치된다. 바람직하게는, 여기서 설명된 실시예들에서, 약 13 MHz인, 선택된 바이어스의 주파수에 따라, 캐패시턴스 링(180)은 약 180 피코 패러드의 캐패시턴스를 갖는다. 캐패시턴스 값으로, 캐패시턴스 링(180)은 위에서 설명된 교차-그라운딩 피쳐를 방해하지 않는다. 교차-그라운딩 피쳐에서, 웨이퍼 페데스탈 상의 HF 바이어스 신호는 스터브(135)를 통하여 HF 바이어스 발생기(150)의 RF 리턴 단자로 복귀되는 반면, 전극(125)으로부터의 VHF 소스 전력 신호는 웨이퍼 페데스탈을 통하여 VHF 소스 전력 발생기(150)의 RF 리턴 단자로 복귀된다.
도 5는 본 발명의 바람직한 실시예에서 주파수의 함수로 VHF 전력 소스와 오버헤드 전극(135) 사이의 반사율을 나타내는 그래프이다. 이 그래프는 반사율이 6 dB인 매우 넓은 대역의 주파수들의 존재를 나타내며, 이는 위에서 논의된 매우 유리한 낮은 시스템 Q를 나타낸다.
도 6은 탭(160)이 상기 스터브의 단락된 단부로부터 도 2B의 거리 A에 위치된 경우에 동축 스터브(135)에 따른 위치의 함수로서 정재파 전류(실선)와 정재파 전압(점선)을 도시한다.
도 7은 동축 스터브(135)의 내부 도체(140)는 테이퍼지며, 오버헤드 전극(125)에 인접한 단락된 스터브 단부(135a)에서 더 큰 반경을 갖고 먼 스터브 단부(135b)에서 더 작은 반경을 갖는 본 발명의 선택적인 실시예를 도시한다. 이 피쳐는 탭(160)에서 동축 스터브(135)에 의해 나타나는 낮은 임피던스(예를 들면, 50 Ω)와 오버헤드 전극(125)에서 동축 스터브(135)에 의해 나타나는 더 높은 임피던스(예를 들면, 64 Ω) 사이의 변화를 제공한다.
결과:
본 발명은 동작 조건들 및/또는 제조 허용 오차들의 편차들의 변화에 훨씬 덜 민감한 플라즈마 리액터를 제공한다. 임피던스 정합을 위한 광범위한 동조(tuning) 또는 주파수 공간과 같은 동작 조건들에 대한 적은 민감성을 포함하는 이런 바람직한 장점들은 캐패시턴스 정합 또는 가장 바람직한 처리 플라즈마 이온 밀도에서의 상기 플라즈마의 네거티브 캐패시턴스의 크기에 근접한 정합을 갖는 오버헤드 리액터 전극, VHF 소스 전력 주파수 정합 또는 플라즈마-전극 공진 주파수에의 근접한 정합의 사용을 포함하여 함께 작용하는 많은 리액터 피쳐들의 기여들; VHF 소스 전력 주파수, 플라즈마 전극 공진 주파수 및 스터브 공진 주파수의 밀접한 관계; 플라즈마-전극 공진 주파수, 스터브 공진 주파수 및 소스 전력 주파수의 오프세팅(offsetting); 및 바람직하게는 이상적인 정합 위치로부터 약간 오프셋된 소스 전력 입력 탭(160)을 구비하는, 오버헤드 전극에 소스 전력을 결합하기 위한 공진 스터브 정합의 사용 등이 있다고 믿어진다.
플라즈마, 스터브 및 소스 전력 주파수들의 오프세팅은 사실상 시스템을 디튜닝(detuning)함으로써 시스템의 동조 공간을 넓힌다고 믿어진다. 스터브 정합을 사용하는 것은 더 넓은 주파수 범위에 대한 정합에 의해 동조 공간을 넓힌다. 이상적인 정합 포인트로부터 스터브 탭 포인트(160)를 오프세팅하는 것은 동조 공간을 넓히기 위해 시스템을 최적화시키는데, 이는 이러한 피쳐가 전달된 전력이 감소할 때 전류를 증가시키고 전달된 전력이 증가할 때 전류를 감소시키는 효과를 갖기 때문이다. 더 높은(VHF) 소스 전력 주파수를 사용하는 것은 시스템 Q에서의 감소 또는 소스 전력 주파수의 증가에 비례하는 동조 공간에서의 증가를 제공한다. 보다 중요하게는, 이러한 선택은 전극-플라즈마 공진이 에칭 프로세스들에 가장 좋은 플라즈마 밀도에서 소스 전력 주파수에 정합되도록 해 준다.
본 발명은 리액터가 보다 광범위한 프로세스 윈도우에 걸친 프로세스 조건들의 변화에 의해 실질적으로 영향을 받지 않도록 하기 때문에, (a) 프로세스 조건 편차들의 더 넓은 범위에 걸쳐 작동 가능하고, (b) 더 넓은 범위에 걸친 분야들(다른 처리 방법들)에 유용하며 (c) 그 성능이 제조 허용 오차들의 더 넓은 범위에 걸쳐 실질적으로 영향받지 않는, 리액터의 3중의 장점을 제공하므로, 리액터-대-리액터 특성이 일정하다.
결과적으로, 우수한 결과들이 달성되었다. 특정하게는, 동일 모델의 다른 리액터들 중의 특성과 성능의 우수한 균일성을 유지하고, 프로세스 윈도우를 개선하도록 소정의 경우에서 시스템의 Q는 약 5로 최소화되었다. 1012 ions/cc 정도인 높은 플라즈마 밀도가 단지 2kW의 소스 전력으로 일정하게 달성되었다. 시스템은 10 W 정도로 낮은 소스 전력 레벨로 어떠한 변화 없이 10 mT 내지 200 mT의 압력 범위에 걸쳐 플라즈마를 유지하였다. VHF 플라즈마 및 소스 전력 주파수들에 근접하여 공진하는 단락된 임피던스 정합 동축 스터브는 기생하는 VHF 플라즈마 외장 고조파들을 단락시킴과 동시에 95% 이상의 전력 효율을 실현하였다. 시스템은 60:1의 플라즈마 저항성 부하 편차 및 1.3 내지 0.75의 반응성(reactive) 부하 편차들을 허용하면서 3:1 미만으로 소스 전력의 SWR을 유지하였다.
이는 부하 편차들을 허용하는 증가된 용량성 및 프로세스 윈도우 확장은, (a) VHF 소스 전력 주파수의 적절한 선택은 물론 전극(125) 및 그 전도성 지지부 사이의 유전체 값들의 적절한 선택에 의해 위에서 설명된 바와 같이 달성된 설계 작동 조건들 하에서 전극 및 플라즈마 캐패시턴스들의 정합에 상당 부분 기인하고; (b) 탭 전류가 낮은 부하의 조건들 하에서 스터브 전류에 부가되고 높은 부하의 조건들 하에서 감소되어지는 최적의 탭 위치를 갖도록 특히 구성된 동축 스터브에 상당 부분 기인한다. 매우 높은 전력 효율은 전극 연결은 물론 발생기 연결 양쪽에서 반사 손실을 최소화하는 동축 스터브에 의해 제공되는 임피던스 변환에 상당 부분 기인하고, 저항성 손실이 지배적인 상기 동축 스터브 내의 낮은 전류 및 높은 전압과 캐패시턴스 손실이 지배적인 전극/플라즈마에서의 높은 전류 및 낮은 전압을 실현시키기 위한 최적의 탭 위치 선정에 따른 스터브 공진 주파수와 전극-플라즈마 공진 주파수 사이의 정합을 획득함에 기인한다는 것이 믿어진다. 그러나 모든 이러한 장점들은 종래의 임피던스 정합 장치를 위한 필요를 피하거나 최소화하여야 제공된다.
실리콘 및 금속 에치를 위해 채택된 본 발명의 바람직한 실시예들이 상세히 설명되지만, 본 발명은 또한 다른 이온 밀도, 다른 플라즈마 소스 전력 레벨, 다른 챔버 압력을 포함하는, 상기 설명된 작동 조건들과 다른 플라즈마 작동 조건들의 선택에 유리하다. 이러한 변형들은 다른 플라즈마 캐패시턴스를 생성하고, 다른 전극 캐패시턴스와 다른 전극-플라즈마 공진 주파수들을 필요로 하며, 그러므로 위에서 설명된 바와 다른 플라즈마 소스 전력 주파수들과 스터브 공진 주파수들을 필요로 할 것이다. 또한, 다른 웨이퍼 직경들과 화학적 기상 증착과 같은 다른 플라즈마 처리들이 소스 전력과 챔버 압력에 대해 다른 동작 체계를 갖도록 할 것이다. 그러나 이러한 다양한 응용들에서, 본 발명은 일반적으로 상기 설명된 바람직한 실시예에서와 같이 프로세스 윈도우와 안정성을 개선할 것으로 믿어진다.
본 발명은 바람직한 실시예들을 참조하여 상세히 설명되었지만, 본 발명의 진정한 정신과 범위를 벗어나지 않고 그 변화와 변형이 가능할 것이다.

Claims (94)

  1. 반도체 제품 처리용 플라즈마 리액터로서,
    챔버 벽을 구비하며 상기 반도체 제품을 보유하는 제품 지지부를 포함하는 리액터 챔버;
    상기 제품 지지부 위에 놓이며, 상기 챔버 벽의 일부를 포함하는 오버헤드 전극; 및
    RF 전력 발생기 - 상기 RF 전력 발생기는 상기 발생기의 주파수에서 상기 오버헤드 전극에 전력을 공급하며, 상기 챔버내에서 요구된 플라즈마 이온 밀도 레벨로 플라즈마를 유지함 - ,
    를 포함하며,
    상기 오버헤드 전극은 상기 발생기의 주파수 또는 상기 주파수와 근사한 전극-플라즈마 공진 주파수에서 플라즈마와 공진을 형성하는 리액턴스를 갖는 것을 특징으로 하는 플라즈마 리액터.
  2. 제 1 항에 있어서,
    상기 플라즈마는 리액턴스를 가지며, 상기 전극의 리액턴스는 상기 플라즈마의 리액턴스에 의해 결정되는 것을 특징으로 하는 플라즈마 리액터.
  3. 제 2 항에 있어서,
    상기 전극의 리액턴스는 상기 플라즈마의 리액턴스와 공액인(conjugate) 것을 특징으로 하는 플라즈마 리액터.
  4. 제 2 항에 있어서,
    상기 플라즈마의 리액턴스는 네거티브 캐패시턴스를 포함하며, 상기 전극의 캐패시턴스는 상기 플라즈마의 네거티브 캐패시턴스의 크기와 동일한 크기인 것을 특징으로 하는 플라즈마 리액터.
  5. 제 1 항에 있어서,
    상기 RF 발생기의 주파수와 전극-플라즈마 공진 주파수는 VHF 주파수인 것을 특징으로 하는 플라즈마 리액터.
  6. 제 5항에 있어서,
    상기 플라즈마 리액턴스는 상기 플라즈마 이온 밀도의 함수이고, 상기 플라즈마 이온 밀도는 상기 리액터의 선택된 플라즈마 프로세스에 이용되는 것을 특징으로 하는 플라즈마 리액터.
  7. 제 6 항에 있어서,
    상기 플라즈마 프로세스는 플라즈마 에칭 프로세스가며, 상기 플라즈마 이온 밀도는 약 109 이온/cc내지 약 1012 이온/cc의 범위 내에 있는 것을 특징으로 하는 플라즈마 리액터.
  8. 제 1 항에 있어서,
    상기 발생기와 상기 오버헤드 전극 사이에 접속된 고정 임피던스 정합 소자를 더 포함하며, 상기 고정 임피던스 정합 소자는 정합 소자 공진 주파수를 갖는 것을 특징으로 하는 플라즈마 리액터.
  9. 제 8 항에 있어서,
    상기 정합 소자 공진 주파수와 상기 전극-플라즈마 공진 주파수는 서로 오프셋되며, 상기 발생기의 주파수는 상기 전극-플라즈마 공진 주파수와 상기 정합 소자 공진 주파수 사이에 위치하는 것을 특징으로 하는 플라즈마 리액터.
  10. 제 9 항에 있어서,
    상기 발생기의 주파수, 상기 플라즈마 주파수 및 상기 정합 소자 공진 주파수는 모두 VHF 주파수인 것을 특징으로 하는 플라즈마 리액터.
  11. 제 8 항에 있어서, 상기 고정 임피던스 정합 소자는,
    상기 RF 전력 발생기로부터의 전력을 상기 오버헤드 전극에 결합시키고 이들 사이에 임피던스 변형을 제공하기 위하여, 상기 오버헤드 전극 근처에 인접한 단부를 가지는 동축 스터브를 포함하며, 상기 동축 스터브는,
    상기 인접한 단부에서 상기 오버헤드 전극에 연결되는 내부 도체,
    상기 내부 도체 부근에 있고 상기 내부 도체와 이격되며 상기 인접한 단부에서 상기 RF 전력 발생기의 RF 리턴 전위와 접속되는 외부 도체,
    상기 스터브의 축방향 길이를 따라 선택된 위치에서의 탭을 포함하며, 상기 탭은 상기 내부 도체와 상기 RF 전력 발생기의 출력 단자 사이에서 접속부
    를 포함하는 것을 특징으로 하는 플라즈마 리액터.
  12. 제 11 항에 있어서,
    상기 내부 및 외부 도체와 상기 인접한 단부의 반대편의 상기 스터브의 먼 단부에 연결된 단락 도체를 더 포함하여 상기 스터브의 먼 단부는 전기적 단락부인 것을 특징으로 하는 플라즈마 리액터.
  13. 제 11 항에 있어서,
    상기 인접한 단부와 먼 단부 사이의 상기 스터브의 길이는 상기 스터브의 상기 정합 소자 공진 주파수의 1/4 파장의 배수와 같은 것을 특징으로 하는 플라즈마 리액터.
  14. 제 13 항에 있어서,
    상기 배수는 2이며, 상기 스터브의 길이는 상기 정합 소자 공진 주파수에서 1/2 파장인 것을 특징으로 하는 플라즈마 리액터.
  15. 제 13 항에 있어서,
    상기 RF 전력 발생기의 주파수, 상기 정합 소자 공진 주파수 및 상기 전극-플라즈마 공진 주파수 모두는 서로 오프셋되는 VHF 주파수인 것을 특징으로 하는 플라즈마 리액터.
  16. 제 11 항에 있어서,
    상기 스터브는 알루미늄을 포함하는 것을 특징으로 하는 플라즈마 리액터.
  17. 제 11 항에 있어서,
    상기 선택된 위치는 상기 스터브의 길이를 따르는 위치이며, 상기 위치에서 상기 스터브내의 정재파 전압과 정재파 전류 사이의 비율이 상기 RF 전력 발생기의 출력 임피던스와 적어도 거의 동일한 것을 특징으로 하는 플라즈마 리액터.
  18. 제 17 항에 있어서,
    상기 탭의 선택된 위치는 상기 비율이 상기 출력 임피던스와 동일한 이상적인 위치로부터 이동되며, 상기 이동은 상기 오버헤드 전극에서 부하 임피던스가 공칭 레벨 이하로 감소할 때마다 상기 탭에 전류를 증가시키며, 상기 오버헤드 전극에서 부하 임피던스가 공칭 레벨 이상으로 증가할 때마다 상기 탭에 전류를 감소시키기에 충분한 것을 특징으로 하는 플라즈마 리액터.
  19. 제 18 항에 있어서,
    상기 이상적인 위치로부터의 이동은 상기 RF 발생기의 VHF 주파수의 파장의 약 5%인 것을 특징으로 하는 플라즈마 리액터.
  20. 제 18 항에 있어서,
    상기 이상적인 위치로부터의 이동은 6 이상의 정합 공간 증가율을 구현하는 것을 특징으로 하는 플라즈마 리액터.
  21. 제 11 항에 있어서,
    상기 스터브의 상기 내부 및 외부 도체 사이에 절연 물질을 더 포함하며, 상기 절연 물질은 유전 상수를 가지며, 상기 유전 상수와 상기 내부 및 외부 도체의 반경은 상기 동축 스터브가 상기 RF 전력 발생기의 출력 임피던스보다 더 큰 특성 임피던스를 갖도록 하는 것을 특징으로 하는 플라즈마 리액터.
  22. 제 21 항에 있어서,
    상기 동축 스터브의 특성 임피던스는 상기 RF 전력 발생기의 출력 임피던스 보다 약 30% 더 큰 것을 특징으로 하는 플라즈마 리액터.
  23. 제 21 항에 있어서,
    상기 동축 스터브는 상기 RF 전력 발생기의 출력 임피던스 보다 작은 특성 임피던스를 갖는 것을 특징으로 하는 플라즈마 리액터.
  24. 제 21 항에 있어서,
    상기 오버헤드 전극과 상기 챔버 벽의 잔존부 사이에 절연 밀봉부(seal)을 더 포함하며, 상기 절연 밀봉부의 유전 상수와 상기 오버헤드 전극의 면적은 상기 챔버내의 플라즈마가 상기 전극-플라즈마 공진 주파수에서 상기 오버헤드 전극과 공진하게 하는 것을 특징으로 하는 플라즈마 리액터.
  25. 제 21 항에 있어서,
    상기 내부 도체는 상기 스터브의 인접한 단부에서 최대 반경을 가지며, 상기 스터브의 먼 단부에서 최소 반경을 갖는 테이퍼진 실린더인 것을 특징으로 하는 플라즈마 리액터.
  26. 제 21 항에 있어서,
    HF 주파수 바이어스 전력 발생기 및 상기 HF 주파수 바이어스 전력 발생기와 상기 웨이퍼 지지부 사이에 연결된 임피던스 정합 회로를 더 포함하며, 상기 전극에 접속된 RF 전력 발생기의 주파수, 상기 전극-플라즈마 공진 주파수 및 상기 정합 소자 공진 주파수는 VHF 주파수인 것을 특징으로 하는 플라즈마 리액터.
  27. 제 26 항에 있어서,
    상기 웨이퍼 지지부는 상기 오버헤드 전극으로부터 상기 챔버속에 결합된 VHF 전력을 위한 RF 리턴 경로를 제공하는 것을 특징으로 하는 플라즈마 리액터.
  28. 제 27 항에 있어서,
    상기 웨이퍼의 주변부를 둘러싸는 반도체 환형 링을 더 포함하며, 상기 링은 상기 오버헤드 전극으로부터 상기 챔버 안으로 결합된 VHF 전력에 나타난 유효 리턴 전극 면적을 연장시키는 것을 특징으로 하는 플라즈마 리액터.
  29. 제 28 항에 있어서,
    상기 링을 지지하며, 상기 챔버 벽으로부터 상기 링을 절연시키는 절연 고리를 더 포함하며, 상기 링의 유전 상수는 상기 웨이퍼 지지부와 상기 반도체 링 사이의 VHF 전력 리턴 전류의 배분을 결정하는 것을 특징으로 하는 플라즈마 리액터.
  30. 제 28 항에 있어서,
    상기 오버헤드 전극으로부터 상기 챔버안으로 연결된 VHF 전력에 대한 유효 리턴 전극 면적은 상기 오버헤드 전극의 면적을 초과하는 것을 특징으로 하는 플라즈마 리액터.
  31. 제 30 항에 있어서,
    상기 동축 스터브와 상기 오버헤드 전극의 조합은 상기 웨이퍼 지지부로부터 상기 챔버안으로 연결된 HF 전력을 위한 RF 리턴 경로를 제공하며, 상기 오버헤드 전극은 상기 웨이퍼 지지부의 면적 보다 큰 면적을 갖는 것을 특징으로 하는 플라즈마 리액터.
  32. 제 28 항에 있어서,
    상기 오버헤드 전극과 상기 고정 임피던스 정합 소자 사이에 용량성 소자를 더 포함하며, 상기 용량성 소자는 상기 플라즈마와 상기 고정 임피던스 정합 소자 사이에 DC 절연을 제공하기에 충분한 캐패시턴스를 갖는 것을 특징으로 하는 플라즈마 리액터.
  33. 제 31항에 있어서,
    상기 동축 스터브의 상기 내부 도체는 중공의 도관(hollow conduit)을 포함하며, 상기 리액터는,
    상기 챔버 안으로 처리 가스를 분배하기 위해 상기 오버헤드 천장 내에 설치된 가스 인입 장치;
    상기 도관을 통하여 상기 오버헤드 천장내의 상기 가스 인입 장치에 연장된 가스 공급 라인을 더 포함하는 것을 특징으로 하는 플라즈마 리액터.
  34. 제 33 항에 있어서,
    상기 가스 공급 라인은 금속을 포함하는 것을 특징으로 하는 플라즈마 리액터.
  35. 제 33 항에 있어서,
    상기 동축 스터브의 상기 내부 도체는 중공의 도관(hollow conduit)을 포함하며, 상기 리액터는,
    상기 오버헤드 천장내의 냉각제 통로;
    상기 도관을 통하여 상기 오버헤드 천장내의 냉각제 통로로 연장된 냉각제 공급 라인을 더 포함하는 것을 특징으로 하는 플라즈마 리액터.
  36. 제 35항에 있어서,
    상기 냉각제 공급 라인은 금속을 포함하는 것을 특징으로 하는 플라즈마 리액터.
  37. 반도체 제품을 처리하기 위한 플라즈마 리액터로서,
    챔버 벽을 구비하며 상기 반도체 제품을 보유하는 제품 지지부를 포함하는 리액터 챔버;
    상기 제품 지지부 위에 놓이며 상기 챔버 벽의 일부를 포함하는 오버헤드 전극; 및
    RF 전력 발생기 - 상기 RF 전력 발생기는 상기 발생기의 주파수에서 상기 오버헤드 전극에 전력을 공급하며, 원하는 플라즈마 밀도 레벨로 상기 챔버내의 플라즈마를 유지함 -
    를 포함하며,
    상기 오버헤드 전극은 상기 오버헤드 전극과 상기 원하는 플라즈마 이온 밀도에서 상기 챔버내에서 형성된 플라즈마가 전극-플라즈마 공진 주파수에서 공진되는 캐패시턴스를 가지며, 상기 발생기의 주파수는 적어도 상기 전극-플라즈마 공진 주파수에 근접한 것을 특징으로 하는 플라즈마 리액터.
  38. 제 37 항에 있어서,
    상기 발생기와 상기 오버헤드 전극 사이에 고정 임피던스 정합 소자를 더 포함하며, 상기 고정 임피던스 정합 소자는 정합 소자 공진 주파수를 갖는 것을 특징으로 하는 플라즈마 리액터.
  39. 제 38 항에 있어서,
    상기 발생기의 주파수는 상기 전극 플라즈마 공진 주파수와 상기 정합 소자 공진 주파수 사이에 놓이는 것을 특징으로 하는 플라즈마 리액터.
  40. 제 39 항에 있어서,
    상기 주파수의 각각은 VHF 주파수인 것을 특징으로 하는 플라즈마 리액터.
  41. 반도체 제품을 처리하기 위한 플라즈마 리액터로서,
    챔버 벽을 구비하며 상기 반도체 제품을 보유하는 제품 지지부를 포함하는 리액터 챔버;
    상기 제품 지지부 위에 놓이며 상기 챔버 벽의 일부를 포함하는 오버헤드 전극;
    원하는 플라즈마 이온 밀도에서 상기 챔버내의 플라즈마를 유지하기 위하여 상기 오버헤드 전극에 전력을 공급할 수 있는 RF 전력 발생기;
    상기 RF 전력 발생기로부터 상기 오버헤드 전극에 전력을 결합시키고 이들 사이의 임피던스 변환을 제공하기 위해 상기 오버헤드 전극에 가까운 곳에 인접한 단부를 갖는 동축 스터브를 포함하며, 상기 동축 스터브는,
    상기 인접한 단부에서 상기 오버헤드 전극에 연결된 내부 도체,
    상기 인접한 단부에서 상기 RF 전력 발생기의 RF 리턴 전위에 연결되며, 상기 내부 도체와 이격되어 있고 상기 내부 도체 주위에 배치된 외부 도체,
    상기 스터브의 축방향 길이를 따라 선택된 위치에서의 탭을 포함하며, 상기 탭은 상기 내부 도체와 상기 RF 전력 발생기의 출력 단자 사이에 결합부
    를 포함하는 것을 특징으로 하는 플라즈마 리액터.
  42. 제 41 항에 있어서,
    상기 내부 및 외부 도체의 상기 인접한 단부의 반대편에 상기 스터브의 먼 단부에 접속된 단락 도체를 더 포함하여 상기 스터브의 먼 단부는 전기적 단락부인 것을 특징으로 하는 플라즈마 리액터.
  43. 제 42 항에 있어서,
    상기 스터브는 스터브 공진 주파수를 가지며, 상기 인접한 단부와 먼 단부 사이의 상기 스터브의 길이는 스터브 공진 주파수의 1/4 파장의 배수와 동일한 것을 특징으로 하는 플라즈마 리액터.
  44. 제 43 항에 있어서,
    상기 배수는 2이며, 그 결과 상기 스터브의 길이는 상기 스터브 공진 주파수에서 1/2-파장인 것을 특징으로 하는 플라즈마 리액터.
  45. 제 43 항에 있어서,
    상기 RF 전력 발생기는 VHF 주파수에서 VHF 전력 신호를 생성하며, 상기 스터브 공진 주파수는 상기 발생기의 VHF 주파수로부터 오프셋된 VHF 주파수인 것을 특징으로 하는 플라즈마 리액터.
  46. 제 45 항에 있어서,
    상기 오버헤드 전극은 상기 전극과 상기 선택된 플라즈마 이온 밀도에서 상기 플라즈마가 VHF 전극-플라즈마 공진 주파수에서 공진하도록 캐패시턴스를 가지며, 상기 발생기의 VHF 주파수는 상기 전극-플라즈마 공진 주파수와 상기 스터브 공진 주파수 사이에 놓이는 것을 특징으로 하는 플라즈마 리액터.
  47. 제 41 항에 있어서,
    상기 선택된 위치는 정재 전압과 상기 스터브내의 전류파 사이의 비율이 적어도 상기 RF 전력 발생기의 출력 임피던스와 거의 동일한 위치인 것을 특징으로 하는 플라즈마 리액터.
  48. 제 47 항에 있어서,
    상기 탭의 선택된 위치는 상기 비율이 상기 출력 임피던스와 동일한 이상적인 위치로 이동되며, 상기 이동은 상기 오버헤드 전극에서의 부하 임피던스가 공칭 레벨 이하로 감소되면 상기 탭에 전류를 부가하고, 상기 오버헤드 전극에서의 부하 임피던스가 공칭 레벨 이상으로 증가하면 상기 탭에서 전류를 감소시키기에 충분한 것을 특징으로 하는 플라즈마 리액터.
  49. 제 48 항에 있어서,
    상기 이상적인 위치로부터의 이동은 스터브 공진 주파수의 파장의 약 5%인 것을 특징으로 하는 플라즈마 리액터.
  50. 제 48 항에 있어서,
    상기 이상적인 위치로부터의 이동은 6이상의 정합 공간 증가율을 구현하는 것을 특징으로 하는 플라즈마 리액터.
  51. 제 41 항에 있어서,
    상기 스터브의 내부와 외부 도체 사이에 절연 물질을 더 포함하며, 상기 절연 물질은 유전 상수를 가지며, 상기 유전 상수와 상기 내부 및 외부 도체의 반경은 상기 동축 스터브가 상기 RF 전력 발생기의 출력 임피던스 보다 큰 특성 임피던스를 갖도록 되는 것을 특징으로 하는 플라즈마 리액터.
  52. 제 51 항에 있어서,
    상기 동축 스터브의 상기 특성 임피던스는 상기 RF 전력 발생기의 출력 임피던스 보다 약 30% 큰 것을 특징으로 하는 플라즈마 리액터.
  53. 제 41 항에 있어서,
    상기 동축 스터브는 상기 RF 전력 발생기의 출력 임피던스 보다 작은 특성 임피던스를 갖는 것을 특징으로 하는 플라즈마 리액터.
  54. 제 41 항에 있어서,
    상기 오버헤드 전극과 상기 챔버 벽의 잔존부 사이에 절연 밀봉부(seal)를 더 포함하며, 상기 절연 밀봉부의 유전 상수와 상기 오버헤드 전극의 면적은 상기 선택된 플라즈마 이온 밀도와 상기 오버헤드 전극에서의 플라즈마는 VHF 전극-플라즈마 공진 주파수에서 함께 공진하도록 되는 것을 특징으로 하는 플라즈마 리액터.
  55. 제 51 항에 있어서,
    상기 내부 도체는 상기 스터브의 인접한 단부에서 최대 반경을 가지며, 상기 스터브의 먼 단부에서 최소 반경을 갖는 테이퍼진 실린더인 것을 특징으로 하는 플라즈마 리액터.
  56. 제 41 항에 있어서,
    HF 주파수 바이어스 전력 발생기 및 상기 HF 주파수 바이어스 전력 발생기와 상기 웨이퍼 지지부 사이에 연결된 임피던스 정합 회로를 더 포함하는 것을 특징으로 하는 플라즈마 리액터.
  57. 제 56 항에 있어서,
    상기 웨이퍼 지지부는 상기 오버헤드 전극으로부터 상기 챔버안으로 연결된 VHF 전력을 위한 RF 리턴 경로를 제공하는 것을 특징으로 하는 플라즈마 리액터.
  58. 제 57 항에 있어서,
    상기 웨이퍼 주변부를 둘러싸는 반도체 환형 링을 더 포함하며, 상기 링은 상기 오버헤드 전극으로부터 상기 챔버 안으로 결합된 VHF 전력에 나타난 유효 리턴 전극 면적을 연장시키는 것을 특징으로 하는 플라즈마 리액터.
  59. 제 58 항에 있어서,
    상기 링을 지지하며, 상기 챔버 벽으로부터 상기 링을 절연시키는 절연 고리를 더 포함하며, 상기 링의 유전 상수는 상기 웨이퍼 지지부와 상기 반도체 링 사이의 VHF 전력 리턴 전류의 배분을 결정하는 것을 특징으로 하는 플라즈마 리액터.
  60. 제 58 항에 있어서,
    상기 오버헤드 전극으로부터 상기 챔버안으로 연결된 VHF 전력을 위한 유효 리턴 전극 면적은 상기 오버헤드 전극의 면적을 초과하는 것을 특징으로 하는 플라즈마 리액터.
  61. 제 60 항에 있어서,
    상기 동축 스터브를 갖는 상기 오버헤드 전극은 상기 웨이퍼 지지부로부터 상기 챔버안으로 연결된 HF 전력을 위해 RF 리턴 경로를 제공하며, 상기 오버헤드 전극은 상기 웨이퍼 지지부의 면적보다 더 큰 면적을 갖는 것을 특징으로 하는 플라즈마 리액터.
  62. 제 61 항에 있어서,
    상기 동축 스터브의 상기 내부 도체는 중공의 도관(hollow conduit)을 포함하며, 상기 리액터는,
    상기 챔버 안으로 처리 가스를 분배하기 위해 상기 오버헤드 천장 내에 설치된 가스 인입 장치;
    상기 도관을 통하여 상기 오버헤드 천장내의 상기 가스 인입 장치에 연장된 가스 공급 라인을 더 포함하는 것을 특징으로 하는 플라즈마 리액터.
  63. 제 42 항에 있어서,
    상기 동축 스터브의 상기 내부 도체는 중공의 도관(hollow conduit)을 포함하며, 상기 리액터는,
    상기 오버헤드 천장내의 냉각제 통로;
    상기 도관을 통하여 상기 오버헤드 천장내의 냉각제 통로로 연장된 냉각제 공급 라인을 더 포함하는 것을 특징으로 하는 플라즈마 리액터.
  64. 플라즈마 리액터 챔버내에서 반도체 웨이퍼를 처리하는 방법으로서,
    전극 캐패시턴스를 갖는 오버헤드 전극 및 VHF 전력 발생기를 제공하는 단계;
    임피던스 정합 스터브를 통하여 상기 VHF 전력 발생기를 상기 오버헤드 전극에 연결시키는 단계 - 상기 임피던스 정합 스터브는 VHF 스터브 주파수의 약 1/4의 배수인 길이를 가지며, 한쪽 단부에서 상기 오버헤드 전극에 연결되며, 탭 포인트에서 상기 VHF 전력 발생기와 연결됨 - ;
    상기 플라즈마와 전극이 적어도 상기 VHF 전력 발생기의 VHF 주파수 근처의 VHF 주파수에서 함께 공진되는 플라즈마 밀도를 유지하기 위하여, 상기 VHF 전력 발생기로부터의 전력양을 상기 오버헤드 전극에 인가시키는 단계
    를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  65. 제 64 항에 있어서,
    상기 플라즈마 밀도는 109 내지 1012 이온/cc의 범위내에 놓이는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  66. 제 64 항에 있어서,
    상기 전력을 인가하는 단계는 플라즈마의 네거티브 캐패시턴스와 전극의 캐패시턴스와 정합시키는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  67. 제 64 항에 있어서,
    상기 스터브의 길이를 따라 적어도 축방향 위치에 인접하게 상기 탭을 위치시키는 단계를 더 포함하며, 상기 축방향 위치에서 정재파 전압과 정재파 전류 사이의 비율은 상기 VHF 발생기의 출력 임피던스와 동일한 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  68. 제 67 항에 있어서,
    상기 위치시키는 단계는 상기 동축 스터브에 의해 제공된 임피던스 정합이 6이상의 정합 공간 증가율을 구현하는 정도로 상기 축방향 위치로부터 오프셋된 위치에 상기 탭을 위치시키는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  69. 삭제
  70. 제 68 항에 있어서,
    상기 위치는 상기 VHF 발생기의 파장의 약 5%로 오프셋되는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  71. 제 64 항에 있어서,
    상기 VHF 발생기의 VHF 주파수는 상기 플라즈마 VHF 주파수와 상기 스터브 VHF 주파수 사이에 놓이는 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  72. 제 64항에 있어서,
    상기 배수는 2이며, 상기 스터브는 상기 스터브 주파수에서 약 1/2 파장인 것을 특징으로 하는 반도체 웨이퍼 처리 방법.
  73. 반도체 제품을 처리하는 플라즈마 리액터로서,
    챔버 벽을 가지며 상기 반도체 제품을 보유하는 제품 지지부를 포함하는 리액터 챔버;
    일반적으로 상기 제품 지지부와 적어도 대향하는 전극;
    상기 오버헤드 전극의 근처에서 인접한 단부를 갖는 동축 스터브
    - 상기 동축 스터브는,
    상기 인접한 단부에서 상기 오버헤드 전극에 연결된 내부 도체,
    상기 내부 도체 주위에 있고 상기 내부 도체로부터 이격되어 있는 외부 도체를 포함함 - ; 및
    상기 내부 및 외부 도체에 연결된 RF 발생기
    를 포함하는 것을 특징으로 하는 플라즈마 리액터.
  74. 제 73 항에 있어서,
    상기 외부 도체와 상기 웨이퍼 지지부는 상기 RF 발생기의 RF 리턴 전위에 연결되는 것을 특징으로 하는 플라즈마 리액터.
  75. 제 74 항에 있어서,
    상기 동축 스터브와 상기 RF 발생기 사이에 결합부를 제공하는 동축 케이블을 더 포함하며, 상기 동축 케이블은 한쪽 단부에서 상기 RF 발생기의 RF 출력 단자에 연결되며, 반대 단부에서 상기 전극에 연결되는 중앙 도체를 가지며, 상기 동축 케이블은 한쪽 단부에서 상기 RF 발생기의 RF 리턴 전위에 연결되며, 반대 단부에서 상기 웨이퍼 지지부에 전기적으로 연결된 상기 챔버의 부분에 연결되는 외부 도체를 더 갖는 것을 특징으로 하는 플라즈마 리액터.
  76. 제 75 항에 있어서,
    상기 케이블은 상기 RF 발생기의 출력 임피던스와 동일한 특성 임피던스를 갖는 것을 특징으로 하는 플라즈마 리액터.
  77. 제 76 항에 있어서,
    상기 동축 스터브와 동축 케이블의 내부 도체 사이의 결합부는 상기 동축 스터브의 길이를 따라 탭 포인트에 제공되며, 상기 스터브 내의 정재파 전압과 전류의 비율은 상기 케이블의 특성 임피던스와 적어도 대략 동일한 것을 특징으로 하는 플라즈마 리액터.
  78. 제 77 항에 있어서,
    상기 동축 스터브와 상기 동축 케이블의 상기 내부 도체 사이의 결합부는 상기 동축 스터브의 길이에 따른 위치로부터 약간 오프셋된 탭 포인트에 제공되며, 상기 RF 발생기의 주파수에서 상기 스터브내의 정재파 전압과 전류의 비율은 상기 케이블의 특성 임피던스와 동일한 것을 특징으로 하는 플라즈마 리액터.
  79. 제 78 항에 있어서,
    상기 탭 포인트는 상기 RF 발생기의 주파수에서의 파장의 약 5% 정도 상기 위치로부터 오프셋된 것을 특징으로 하는 플라즈마 리액터.
  80. 제 73 항에 있어서,
    상기 전극으로부터 떨어진 상기 스터브의 먼 단부에서 상기 내부 및 외부 도체 사이에 연결된 단락 도체를 더 포함하는 것을 특징으로 하는 플라즈마 리액터.
  81. 제 80 항에 있어서,
    상기 인접한 단부와 먼 단부 사이의 상기 스터브의 길이는 적어도 상기 RF 발생기의 주파수에 인접한 스터브 공진 주파수의 1/4 파장의 배수와 동일한 것을 특징으로 하는 플라즈마 리액터.
  82. 제 81 항에 있어서,
    상기 배수는 2이며, 상기 스터브의 길이는 상기 스터브 공진 주파수에서 1/2-파장인 것을 특징으로 하는 플라즈마 리액터.
  83. 제 81 항에 있어서,
    상기 RF 전력 발생기는 VHF 주파수에서 VHF 전력 신호를 생성하며, 상기 스터브 공진 주파수는 상기 발생기의 VHF 주파수로부터 오프셋된 VHF 주파수인 것을 특징으로 하는 플라즈마 리액터.
  84. 제 83 항에 있어서,
    상기 오버헤드 전극과 상기 챔버내에 형성된 플라즈마는 VHF 전극-플라즈마 공진 주파수에서 함께 공진하며, 상기 발생기의 VHF 주파수는 상기 전극-플라즈마 공진 주파수와 상기 스터브 공진 주파수 사이에 놓이는 것을 특징으로 하는 플라즈마 리액터.
  85. 제 73 항에 있어서,
    상기 스터브의 상기 내부 및 외부 도체 사이에 절연 물질을 더 포함하며, 상기 절연 물질은 유전 상수를 가지며, 상기 유전 상수와 상기 내부 및 외부 도체의 반경은 상기 동축 스터브가 상기 RF 전력 발생기의 출력 임피던스 보다 큰 특성 임피던스를 갖게 하는 것을 특징으로 하는 플라즈마 리액터.
  86. 제 73 항에 있어서,
    상기 동축 스터브는 상기 RF 전력 발생기의 출력 임피던스 보다 작은 특성 임피던스를 갖는 것을 특징으로 하는 플라즈마 리액터.
  87. 제 73 항에 있어서,
    상기 동축 스터브의 상기 내부 도체는 중공의 도관을 포함하며, 상기 리액터는,
    상기 챔버 안으로 처리 가스를 분배하기 위해 상기 오버헤드 천장 내에 설치된 가스 인입 장치;
    상기 도관을 통하여 상기 오버헤드 천장내의 상기 가스 인입 장치로 연장되는 가스 공급 라인을 더 포함하는 것을 특징으로 하는 플라즈마 리액터.
  88. 제 73 항에 있어서,
    상기 동축 스터브의 상기 내부 도체는 중공의 도관을 포함하며, 상기 리액터는,
    상기 오버헤드 천장내의 냉각제 통로;
    상기 도관을 통하여 상기 오버헤드 천장내의 냉각제 통로로 연장되는 냉각제 공급 라인을 더 포함하는 것을 특징으로 하는 플라즈마 리액터.
  89. RF 발생기 출력의 출력 임피던스와 반도체 웨이퍼 처리를 위한 플라즈마 리액터 전극에서의 부하 임피던스 사이에서 임피던스 변환을 제공하는 방법으로서,
    고정 동축 스터브를 제공하며, 상기 고정 동축 스터브를 상기 RF 발생기 출력과 상기 전극 사이에 연결시키는 단계; 및
    상기 플라즈마와 상기 전극의 조합이 상기 RF 발생기의 출력 주파수 근처에서 공진하는 플라즈마 이온 밀도로 상기 리액터내에서 플라즈마를 유지시키는 전력 레벨에서 상기 RF 발생기를 동작시키는 단계
    를 포함하는 것을 특징으로 하는 임피던스 변환 제공 방법.
  90. 제 89항에 있어서, 상기 고정 동축 스터브를 제공하는 단계는 상기 RF 발생기의 출력 임피던스와 상이한 특성 임피던스를 갖는 고정 동축 스터브를 제공하는 단계를 포함하는 것을 특징으로 하는 임피던스 변환 제공 방법.
  91. 삭제
  92. 제 89 항에 있어서,
    상기 밀도는 109 내지 1012 이온/cc의 범위 내이며, 상기 RF 발생기의 주파수는 VHF 주파수인 것을 특징으로 하는 임피던스 변환 제공 방법.
  93. 제 89 항에 있어서,
    상기 고정 스터브를 연결시키는 단계는 단부들 사이에서 적어도 상기 스터브의 길이에 따른 위치 부근의 탭 위치에서의 상기 스터브에 상기 RF 발생기 출력을 연결시키는 단계를 포함하며 상기 위치에서 정재파 전압과 전류의 비율은 상기 RF 발생기의 출력 임피던스와 동일한 것을 특징으로 하는 임피던스 변환 제공 방법.
  94. 제 93 항에 있어서,
    상기 탭 포인트는 상기 위치로부터 상기 RF 발생기의 주파수에서 파장의 5%만큼 이동하는 것을 특징으로 하는 임피던스 변환 제공 방법.
KR1020027012240A 2000-03-17 2001-03-19 플라즈마에 동조된 오버헤드 rf 전극을 가진 플라즈마리액터 KR100797926B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/527,342 2000-03-17
US09/527,342 US6528751B1 (en) 2000-03-17 2000-03-17 Plasma reactor with overhead RF electrode tuned to the plasma

Publications (2)

Publication Number Publication Date
KR20020081461A KR20020081461A (ko) 2002-10-26
KR100797926B1 true KR100797926B1 (ko) 2008-01-24

Family

ID=24101080

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027012240A KR100797926B1 (ko) 2000-03-17 2001-03-19 플라즈마에 동조된 오버헤드 rf 전극을 가진 플라즈마리액터

Country Status (6)

Country Link
US (2) US6528751B1 (ko)
EP (1) EP1269511B1 (ko)
JP (1) JP2003528457A (ko)
KR (1) KR100797926B1 (ko)
DE (1) DE60136692D1 (ko)
WO (1) WO2001071765A2 (ko)

Families Citing this family (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6879870B2 (en) * 2002-04-16 2005-04-12 Steven C. Shannon Method and apparatus for routing harmonics in a plasma to ground within a plasma enhanced semiconductor wafer processing chamber
US6960263B2 (en) * 2002-04-25 2005-11-01 Applied Materials, Inc. Shadow frame with cross beam for semiconductor equipment
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US20050139321A1 (en) * 2002-07-03 2005-06-30 Tokyo Electron Limited Plasma processing apparatus
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4388287B2 (ja) * 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7075771B2 (en) * 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7158221B2 (en) * 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7501161B2 (en) * 2004-06-01 2009-03-10 Applied Materials, Inc. Methods and apparatus for reducing arcing during plasma processing
JP4792028B2 (ja) * 2004-06-03 2011-10-12 モレキュラー・インプリンツ・インコーポレーテッド ナノスケール製造技術における流体の分配およびドロップ・オン・デマンド分配技術
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070029283A1 (en) * 2005-08-02 2007-02-08 Micron Technology, Inc. Etching processes and methods of forming semiconductor constructions
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US7244313B1 (en) 2006-03-24 2007-07-17 Applied Materials, Inc. Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
US7510976B2 (en) * 2006-04-21 2009-03-31 Applied Materials, Inc. Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7758763B2 (en) 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US8083963B2 (en) 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8053699B2 (en) * 2007-11-27 2011-11-08 General Electric Company Electrical pulse circuit
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8920597B2 (en) 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
TWI671911B (zh) 2011-05-05 2019-09-11 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
US8933335B2 (en) * 2011-10-14 2015-01-13 Varian Semiconductor Equipment Associates, Inc. Current lead with a configuration to reduce heat load transfer in an alternating electrical current environment
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9720022B2 (en) 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
TWI668725B (zh) * 2013-10-01 2019-08-11 美商蘭姆研究公司 使用模型化、回授及阻抗匹配之蝕刻速率的控制
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) * 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
JP2019145397A (ja) 2018-02-22 2019-08-29 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN108990250B (zh) * 2018-09-20 2024-03-12 烟台海灵健康科技有限公司 一种可调节浓度的电弧等离子体气体发生器
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN114446748B (zh) * 2020-10-30 2024-05-10 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其工作方法
CN116145248B (zh) * 2023-04-24 2023-07-25 苏州长光华芯光电技术股份有限公司 一种半导体外延方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5643364A (en) * 1994-11-30 1997-07-01 Applied Materials, Inc. Plasma chamber with fixed RF matching

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
US4973883A (en) 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US5115167A (en) 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5089083A (en) 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5300460A (en) 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5032202A (en) 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5223457A (en) 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
JPH04901A (ja) 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JP2501948B2 (ja) 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
CA2102201A1 (en) 1991-05-21 1992-11-22 Ebrahim Ghanbari Cluster tool soft etch module and ecr plasma generator therefor
US5198725A (en) 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
TW249313B (ko) 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
JP3236111B2 (ja) * 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP3222620B2 (ja) * 1993-05-28 2001-10-29 株式会社東芝 放電処理装置
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
US5849372A (en) 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
WO1995008182A1 (en) 1993-09-17 1995-03-23 Isis Innovation Limited Rf plasma reactor
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
JP3279038B2 (ja) 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5512130A (en) 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
KR100306527B1 (ko) 1994-06-15 2002-06-26 구사마 사부로 박막반도체장치의제조방법,박막반도체장치
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) * 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
IT1269413B (it) 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
JP3238082B2 (ja) 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) * 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
JP3650248B2 (ja) 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6155200A (en) 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
JP2929284B2 (ja) * 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6251216B1 (en) 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
JP3818561B2 (ja) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6188564B1 (en) 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
JP3374796B2 (ja) 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5643364A (en) * 1994-11-30 1997-07-01 Applied Materials, Inc. Plasma chamber with fixed RF matching

Also Published As

Publication number Publication date
US6838635B2 (en) 2005-01-04
WO2001071765A2 (en) 2001-09-27
EP1269511B1 (en) 2008-11-26
US20030062344A1 (en) 2003-04-03
WO2001071765A3 (en) 2002-01-31
KR20020081461A (ko) 2002-10-26
DE60136692D1 (de) 2009-01-08
US6528751B1 (en) 2003-03-04
JP2003528457A (ja) 2003-09-24
EP1269511A2 (en) 2003-01-02

Similar Documents

Publication Publication Date Title
KR100797926B1 (ko) 플라즈마에 동조된 오버헤드 rf 전극을 가진 플라즈마리액터
US7030335B2 (en) Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7186943B2 (en) MERIE plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7141757B2 (en) Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6756737B2 (en) Plasma processing apparatus and method
US7220937B2 (en) Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US5210466A (en) VHF/UHF reactor system
KR100328135B1 (ko) 플라즈마점화를향상시키기위한전극을가지는유도결합된플라즈마반응로
KR100849709B1 (ko) 다중 rf 소오스 주파수들을 갖는 플라즈마 챔버
JPH10172792A (ja) プラズマ処理装置
JPH04247878A (ja) Vhf/uhf反応装置
EP0469597B1 (en) Plasma processing reactor
CN114171364B (zh) 半导体工艺设备
US20240170256A1 (en) VHF Broadband Coaxial Adapter
KR20240046497A (ko) 플라즈마 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20111228

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee