KR101676875B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR101676875B1
KR101676875B1 KR1020100027566A KR20100027566A KR101676875B1 KR 101676875 B1 KR101676875 B1 KR 101676875B1 KR 1020100027566 A KR1020100027566 A KR 1020100027566A KR 20100027566 A KR20100027566 A KR 20100027566A KR 101676875 B1 KR101676875 B1 KR 101676875B1
Authority
KR
South Korea
Prior art keywords
high frequency
focus ring
plasma
lower electrode
impedance
Prior art date
Application number
KR1020100027566A
Other languages
English (en)
Other versions
KR20100108303A (ko
Inventor
치시오 고시미즈
요헤이 야마자와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100108303A publication Critical patent/KR20100108303A/ko
Application granted granted Critical
Publication of KR101676875B1 publication Critical patent/KR101676875B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 피처리 기판의 주위를 덮도록 하부 전극에 부착되는 포커스 링을 전극 온도로부터 독립적으로 임의·간편 또한 효율적으로 가열하는 것을 목적으로 한다. 이 플라즈마 에칭 장치에 있어서, 챔버(10)의 처리공간에 처리 가스를 공급하지 않을 때에는 고주파 방전이 일어나지 않고, 플라즈마 생성용 부하는 실질적으로 존재하지 않는다. 이 경우, 플라즈마 생성용 부하로 치환되어 포커스 링 가열용 부하가 고주파 전원(28)에 대해 실질상의 부하로 되고, 정합기(32A)는 고주파 전원(28)에 대해 그 부하를 임피던스 정합시키도록 동작한다. 여기서, 서셉터(12)로부터 포커스 링(36) 및 유전체(44)를 거쳐서 접지 전위의 통형상 지지 부재(16)에 이르는 고주파 전파 경로가 포커스 링 가열용 부하로서 이용된다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은 피처리 기판에 플라즈마 처리를 실시하는 기술에 관한 것으로, 특히 기판을 유지하는 전극에 포커스 링을 부착하는 플라즈마 처리 장치 및 이를 이용하는 플라즈마 처리 방법에 관한 것이다.
일반적으로, 낱장식의 플라즈마 처리 장치는 진공 챔버내에서 서셉터(통상은 하부 전극)상의 기판의 피처리면에 작용시키는 플라즈마의 밀도의 면내 균일성(특히 기판의 반경 방향의 균일성)을 좋게 하기 위해, 서셉터 및 대향 전극(상부 전극)을 기판보다도 한층 큰 직경 사이즈로 구성하고 있다. 이 경우, 기판의 반경 방향 외측으로 비어져 나오는 서셉터 상면의 주변 부분이 플라즈마에 직접 노출되면, 플라즈마로부터 이온의 공격을 받아서 손상 열화되어 버린다. 특히, 플라즈마 에칭 장치에 있어서는 서셉터에 발생하는 자기 바이어스 전압을 이용해서 이온을 가속시켜 인입하므로, 이온 스퍼터 효과가 크다. 그래서, 기판의 반경 방향 외측으로 비어져 나오는 서셉터 상면의 주변 부분을 덮도록 링형상의 커버 부재 소위 포커스 링을 착탈 가능하게 부착해서, 플라즈마로부터 서셉터를 보호하도록 하고 있다(예를 들면 특허문헌 1 참조).
포커스 링의 재질은 서셉터와 플라즈마의 사이에서 고주파를 양호하게 통과시키고, 또한 이온으로 스퍼터되어도 기판상의 프로세스에 실질적인 영향을 주지 않는 것이 바람직하고, 예를 들면 플라즈마 에칭 장치에서는 Si, SiC, C(카본), SiO2, Al2O3 등이 이용되고 있다.
한편, 플라즈마 처리 장치에 있어서는 기판의 온도가 기판 표면 반응, 더 나아가서는 에칭 특성이나 막 특성 등에 큰 영향을 미치기 때문에, 기판을 탑재하는 서셉터를 통해 기판의 온도를 제어하도록 하고 있다. 통상은 플라즈마로부터의 입열에 의한 기판의 온도 상승을 억제하는 것이 바람직하고, 특히 플라즈마 에칭에서는 기판의 온도를 낮게 하면 래디컬 반응이 억제되어 높은 선택비와 수직 가공 형상이 얻어지기 쉬워진다. 기판 온도 제어 수단으로서는 칠러 장치에 의해 온도 조절된 냉매를 서셉터 내부의 냉매실에 순환 공급해서 서셉터를 소정 온도로 냉각하고, He 가스 등의 전열 가스를 서셉터와 기판의 접촉 계면에 공급해서 기판을 간접적으로 냉각하는 방식이 흔히 이용되고 있다. 이 냉각 방식은 전열 가스의 공급 압력에 대항해서 기판을 서셉터상에 고정시켜 두기 위한 유지 기구를 필요로 하고, 이와 같은 유지 기구로서 정전 척이 많이 이용되고 있다.
일본국 특허공개공보 제 2000-36490 호
종래의 플라즈마 처리 장치에 있어서는 상기와 같이 기판의 온도를 제어하고는 있지만, 포커스 링에 대해서는 특히 개별의 온도 제어를 실행하고는 있지 않다. 이 때문에, RF 파워가 약한 프로세스에서는 이온 플럭스가 약하고, 포커스 링의 온도는 공통의 서셉터상에 탑재되어 있는 기판의 온도에 가까운 값이 된다.
그러나, 포커스 링의 온도가 기판온도 수준으로 낮으면, 플라즈마 프로세스의 특성이 오히려 악화되는 경우도 있다. 예를 들면, 오늘날의 리소그래피 공정에서는 레지스트 패턴의 미세화·해상도 향상을 위해 다층 레지스트법이 다용되고 있다. 다층 레지스트 프로세스에 있어서, BARC(반사 방지막)나 중간 마스크층의 에칭(마스크 처리)을 실행할 때에는 선택성이나 수직형상 가공성보다도 상층 레지스트 패턴의 전사 정밀도 즉 형상 유지(손상·변형의 방지)쪽이 더욱 중시되기 때문에, 플라즈마 생성에 이용되는 고주파의 파워는 약간 낮게 설정된다. 또한, 플라즈마로부터 기판에의 이온의 인입을 제어하기 위해 비교적 낮은 주파수의 고주파를 이용하는 장치에서는 마스크 처리를 실행하는 동안에는 이와 같은 이온 인입용 고주파의 파워가 더욱 약간 낮게(극단적으로는 0와트) 설정된다. 이 때문에, 플라즈마로부터 기판에의 입열은 적고, 기판의 온도는 그다지 높게는 되지 않으며, 포커스 링의 온도도 기판온도 수준으로 낮다. 그러나, 이와 같은 조건하의 에칭 프로세스에서는 에칭 레이트가 기판 중심부보다도 기판 에지부에서 상대적으로 높아지는 프로파일로, 기판상의 에칭 특성이 불균일하게 되기 쉽다.
상기의 문제에 대해서는 포커스 링의 온도를 기판온도보다도 한층 높게 하면, 기판 에지부의 에칭 레이트가 상대적으로 억제되고, 에칭 특성의 균일성이 개선되는 것이 실험적으로 실증되고 있다.
그러나, 양산 장치에 있어서, 서셉터에 포커스 링 전용의 히터를 포함하는 것은 기구적으로도 비용적으로도 매우 곤란하다. 또한, 포커스 링을 상시 가열하면 되는 것이 아니라, 프로세스에 따라서는 냉각해서 온도를 낮추는 쪽이 바람직한 경우도 있다. 예를 들면, 상기와 같은 다층 레지스트 프로세스에서는 마스크 처리 후에 계속해서 다층 레지스트의 하지막 즉 본래의 피가공막의 에칭을 실행할 때에는 고선택성 및 양호한 수직형상 가공성을 얻기 위해 포커스 링의 온도를 낮추는 것이 좋다.
이와 같이, 양산형의 플라즈마 처리 장치에 있어서는 포커스 링의 온도를 임의이고 또한 간편하게 제어(특히 승온)할 수 있는 온도 제어 기구가 요망되고 있다.
본 발명은 상기와 같은 종래 기술의 사정 및 문제점을 감안해서 이루어진 것으로써, 피처리 기판의 주위를 덮도록 서셉터(통상은 하부 전극)에 부착되는 포커스 링을 서셉터 온도로부터 독립적으로 임의·간편 또한 효율적으로 가열하는 것이 가능하고, 더 나아가서는 포커스 링의 온도를 자유롭게 제어할 수 있도록 한 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.
또한, 본 발명은 다층 레지스트 프로세스에 있어서 포커스 링의 온도를 자유롭게 제어해서 각 공정 단계에서 에칭 특성의 균일성을 도모할 수 있는 플라즈마 처리 방법을 제공하는 것을 목적으로 한다.
상기의 목적을 달성하기 위해, 본 발명의 제 1 관점에 있어서의 플라즈마 처리 장치는 진공 배기 가능한 처리 용기와, 상기 처리 용기 내에서 피처리 기판을 탑재하는 하부 전극과, 상기 하부 전극의 상기 기판의 반경 방향 외측으로 비어져 나오는 주변 부분의 적어도 일부를 덮도록, 상기 하부 전극에 부착되는 포커스 링과, 상기 처리 용기 내에서 상기 하부 전극과 평행하게 대향하는 상부 전극과, 상기 기판에 원하는 플라즈마 처리를 실시하기 위해, 상기 상부 전극과 상기 하부 전극의 사이의 처리공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와, 가스의 고주파 방전에 적합한 주파수의 고주파를 출력하는 고주파 전원과, 상기 처리공간에서 고주파 방전에 의해 상기 처리 가스의 플라즈마를 생성하기 위한 제 1 부하에 대해, 상기 고주파 전원으로부터의 상기 고주파를 임피던스 정합 상태에서 공급하는 플라즈마 생성용 고주파 급전부와, 상기 포커스 링을 원하는 온도까지 발열시키기 위한 제 2 부하에 대해, 상기 고주파 전원으로부터의 상기 고주파를 임피던스 정합 상태에서 공급하는 포커스 링 가열용 고주파 급전부를 갖는다.
상기 제 1 관점의 플라즈마 처리 장치에 있어서는 고주파 전원으로부터 출력되는 고주파가 처리 가스의 플라즈마를 생성하기 위해 이용될 뿐만 아니라, 포커스 링을 발열시키기 위해서도 이용된다. 즉, 처리 가스 공급부로부터의 처리 가스가 양 전극간의 처리공간에 공급되고 있을 때에는 고주파 전원으로부터 봐서, 하부 전극으로부터 처리공간측으로 빠지는 고주파 전파 경로가 플라즈마 생성용의 제 1 부하를 구성하고, 이 제 1 부하에 플라즈마 생성용 고주파 급전부를 거쳐서 고주파가 공급된다. 그러나, 처리공간에 처리 가스가 공급되고 있지 않을 때에는 고주파 전원이 고주파를 출력해도 고주파 방전 내지 플라즈마는 발생하지 않고, 제 1 부하는 실질적으로 존재하지 않는다. 이 경우, 제 1 부하로 치환되어 포커스 링 가열용의 제 2 부하가 고주파 전원에 대해 실질상의 부하로 되고, 포커스 링 가열용 고주파 급전부를 거쳐서 제 2 부하로 고주파의 전류가 흘려지며, 포커스 링이 발열해서 가열된다. 여기서, 고주파 전원의 출력 파워를 가변하는 것에 의해서 포커스 링의 발열량을 증감 또는 제어할 수 있다.
본 발명의 바람직한 1형태에 있어서는 포커스 링이, 하부 전극의 반경 방향 외측의 주위에서, 전기적으로 접지되어 있는 도전성 부재에 유전체를 거쳐서 결합된다. 그리고, 제 2 부하는 하부 전극으로부터 포커스 링 및 유전체를 거쳐서 접지 전위에 이르는 고주파 전파로를 포함한다. 이 경우, 고주파 전원에 대해, 제 2 부하의 임피던스를 가변 조정하기 위한 임피던스 조정부를 갖는 구성을 바람직하게 취할 수 있다. 임피던스 조정부는 바람직한 1형태로서, 유전체 중에 마련된 공동(空洞)과, 이 공동내에 용적 가변으로 수용되는 유동성의 유전체 물질을 갖는다. 공동내의 유전체 물질의 용적을 가변하는 것에 의해서, 유전체 주위의 캐패시턴스를 가변하고, 더 나아가서는 제 2 부하의 임피던스를 가변할 수 있다. 제 2 부하의 임피던스를 가변 조정하는 것에 의해, 제 1 부하와의 전환을 안정 확실하게 실행할 수 있는 동시에, 포커스 링의 발열량을 가변 제어할 수도 있다.
별도의 바람직한 1형태에 의하면, 하부 전극의 반경 방향 외측의 주위에 포커스 링과 전기적으로 용량 결합되는 그라운드 단자가 마련되는 동시에, 이 그라운드 단자와 접지 전위의 사이에 전기적인 스위치가 마련된다. 이 스위치는 고주파를 포커스 링의 가열에 이용할 때에는 온 상태로 되어 그라운드 단자를 전기적으로 접지하고, 고주파를 플라즈마의 생성에 이용할 때에는 오프 상태로 되어 그라운드 단자를 전기적으로 플로팅 상태로 한다. 여기서, 제 2 부하는 하부 전극으로부터 포커스 링, 그라운드 단자 및 스위치를 거쳐서 접지 전위의 도전성 부재에 이르는 고주파 전파로를 포함한다. 이러한 구성에 의해서도, 제 1 부하와의 전환을 효율적으로 안정 확실하게 실행할 수 있다.
본 발명의 별도의 바람직한 1형태에 있어서는 플라즈마 생성용 고주파 급전부는 상기 고주파 전원과 상기 하부 전극의 사이에 전기적으로 접속된 정합기를 포함하고, 상기 포커스 링 가열용 고주파 급전부는 상기 정합기와 일정한 임피던스를 갖는 임피던스 부가 회로와 추가 스위치를 포함하고, 상기 추가 스위치는 상기 고주파를 상기 포커스 링의 가열에 이용할 때에는 온 상태로 되어 상기 임피던스 부가 회로를 상기 정합기에 직렬 또는 병렬로 접속하고, 상기 고주파를 상기 플라즈마의 생성에 이용할 때에는 오프 상태로 되어 상기 임피던스 부가 회로를 상기 정합기로부터 전기적으로 분리한다.
이러한 구성에 있어서는 정합기에 임피던스 부가 회로가 접속하면, 고주파 전원에 제 2 부하를 정합시킬 때에 정합기에서 얻어지는 매칭 포인트가 임피던스 부가 회로의 임피던스에 따라 변화된다. 본 발명의 바람직한 1형태에서는, 고주파를 포커스 링의 가열에 이용할 때에 정합기에서 얻어지는 매칭 포인트가, 고주파를 플라즈마의 생성에 이용할 때에 정합기에서 얻어지는 매칭 포인트에 근접하도록, 임피던스 부가 회로의 임피던스가 설정된다.
본 발명의 제 2 관점에 있어서의 플라즈마 처리 장치는 진공 배기 가능한 처리 용기와, 상기 처리 용기 내에서 피처리 기판을 탑재하는 하부 전극과, 상기 하부 전극의 상기 기판의 반경 방향 외측으로 비어져 나오는 주변 부분의 적어도 일부를 덮도록, 상기 하부 전극에 탑재된 포커스 링과, 상기 처리 용기 내에서 상기 하부 전극과 평행하게 대향하는 상부 전극과, 상기 기판에 원하는 플라즈마 처리를 실시하기 위해, 상기 상부 전극과 상기 하부 전극의 사이의 처리공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와, 가스의 고주파 방전에 적합한 주파수의 제 1 고주파를 출력하는 제 1 고주파 전원과, 상기 처리공간에서 고주파 방전에 의해서 상기 처리 가스의 플라즈마를 생성하기 위한 제 1 부하에 대해, 상기 제 1 고주파 전원으로부터의 상기 제 1 고주파를 임피던스 정합 상태에서 공급하는 플라즈마 생성용 고주파 급전부와, 이온의 인입에 적합한 주파수의 제 2 고주파를 출력하는 제 2 고주파 전원과, 상기 플라즈마로부터 상기 기판에의 이온의 인입을 제어하기 위한 제 2 부하에 대해, 상기 제 2 고주파 전원으로부터의 상기 제 2 고주파를 임피던스 정합 상태에서 공급하는 이온 인입용 고주파 급전부와, 상기 포커스 링을 원하는 온도까지 발열시키기 위한 제 3 부하에 대해, 상기 제 2 고주파 전원으로부터의 상기 제 2 고주파를 임피던스 정합 상태에서 공급하는 포커스 링 가열용 고주파 급전부를 갖는다.
상기 제 2 관점의 플라즈마 처리 장치에 있어서는 제 1 고주파 전원으로부터 출력되는 제 1 고주파가 오로지 처리 가스의 플라즈마를 생성하기 위해 이용되는 한편, 제 2 고주파 전원으로부터 출력되는 제 1 고주파는 플라즈마로부터 기판에의 이온 인입의 제어에 이용될 뿐만 아니라, 포커스 링을 발열시키기 위해서도 이용된다. 즉, 양 전극간의 처리공간에서 플라즈마가 생성되고 있을 때에는 제 2 고주파 전원으로부터 봐서, 하부 전극으로부터 처리공간측으로 빠지는 고주파 전파 경로가 이온 인입의 제 2 부하를 구성하고, 이 제 2 부하에 이온 인입용 고주파 급전부를 거쳐서 제 2 고주파가 공급된다. 그러나, 처리공간에 처리 가스가 공급되고 있지 않을 때 즉 플라즈마가 생성되고 있지 않을 때에는 제 2 고주파 전원이 제 2 고주파를 출력해도 이온 인입의 작용은 일어나지 않고, 제 2 부하는 실질적으로 존재하지 않는다. 이 경우, 제 2 부하로 치환되어 포커스 링 가열용의 제 3 부하가 제 2 고주파 전원에 대해 실질상의 부하로 되고, 포커스 링 가열용 고주파 급전부를 거쳐서 제 3 부하에 제 2 고주파의 전류가 흘려지며, 포커스 링이 발열해서 가열된다. 여기서, 제 2 고주파 전원의 출력 파워를 가변하는 것에 의해서 포커스 링의 발열량을 증감 또는 제어할 수 있다.
본 발명의 바람직한 1형태에 있어서는 포커스 링이 하부 전극의 반경 방향 외측의 주위에서, 전기적으로 접지되어 있는 도전성 부재에 유전체를 거쳐서 결합된다. 그리고, 제 3 부하는 하부 전극으로부터 포커스 링 및 유전체를 거쳐서 접지 전위에 이르는 고주파 전파로를 포함한다. 이 경우, 제 2 고주파 전원에 대해, 제 3 부하의 임피던스를 가변 조정하기 위한 임피던스 조정부를 갖는 구성을 바람직하게 취할 수 있다. 임피던스 조정부는 바람직한 1형태로서, 유전체 중에 마련된 공동과, 이 공동내에 용적 가변으로 수용되는 유동성의 유전체 물질을 갖는다. 공동내의 유전체 물질의 용적을 가변하는 것에 의해서, 유전체 주위의 캐패시턴스를 가변하고, 더 나아가서는 제 2 부하의 임피던스를 가변할 수 있다. 제 3 부하의 임피던스를 가변 조정하는 것에 의해, 제 2 부하와의 전환을 안정 확실하게 실행할 수 있는 동시에, 포커스 링의 발열량을 가변 제어할 수도 있다.
별도의 바람직한 1형태에 의하면, 하부 전극의 반경 방향 외측의 주위에 포커스 링과 전기적으로 용량 결합되는 그라운드 단자가 마련되는 동시에, 이 그라운드 단자와 접지 전위의 도전성 부재의 사이에 전기적인 스위치가 마련된다. 이 스위치는 제 2 고주파를 포커스 링의 가열에 이용할 때는 온 상태로 되어 그라운드 단자를 전기적으로 접지하고, 제 2 고주파를 이온의 인입에 이용할 때에는 오프 상태로 되어 그라운드 단자를 전기적으로 플로팅 상태로 한다. 여기서, 제 3 부하는 하부 전극으로부터 포커스 링, 그라운드 단자 및 스위치를 거쳐서 접지 전위의 도전성 부재에 이르는 고주파 전파로를 포함한다. 이러한 구성에 의해서도, 제 2 부하와의 전환을 효율적으로 안정 확실하게 실행할 수 있다.
본 발명의 별도의 바람직한 1형태에 있어서는 이온 인입용 고주파 급전부가 제 2 고주파 전원과 하부 전극의 사이에 전기적으로 접속된 제 2 정합기를 포함한다. 한편, 포커스 링 가열용 고주파 급전부는 제 2 정합기와 일정한 임피던스를 갖는 임피던스 부가 회로와 추가 스위치를 포함한다. 상기 추가 스위치는 제 2 고주파를 포커스 링의 가열에 이용할 때에는 온 상태로 되어 임피던스 부가 회로를 제 2 정합기에 직렬 또는 병렬로 접속하고, 제 2 고주파를 이온의 인입에 이용할 때에는 오프 상태로 되어 임피던스 부가 회로를 제 2 정합기로부터 전기적으로 분리한다.
이러한 구성에 있어서는 제 2 정합기에 임피던스 부가 회로가 접속하면, 제 2 고주파 전원에 제 3 부하를 정합시킬 때에 제 2 정합기에서 얻어지는 매칭 포인트가 임피던스 부가 회로의 임피던스에 따라 변화한다. 본 발명의 바람직한 1형태에서는 제 2 고주파를 포커스 링의 가열에 이용할 때에 제 2 정합기에서 얻어지는 매칭 포인트가 제 2 고주파를 이온의 인입에 이용할 때에 제 2 정합기에서 얻어지는 매칭 포인트에 근접하도록, 임피던스 부가 회로의 임피던스가 설정된다.
본 발명의 바람직한 1형태에 있어서는 포커스 링의 온도를 검출하기 위한 온도 센서와, 포커스 링의 온도를 제어하기 위해, 온도 센서의 출력 신호를 피드백해서, 포커스 링의 가열에 이용되는 고주파의 파워를 제어하는 온도 제어부를 구비해도 좋다. 또한, 하부 전극을 냉각하기 위한 냉각부와, 하부 전극에 대해 포커스 링을 원하는 때 또는 기간 동안 열적으로 결합시키는 열적 결합 제어부를 갖는 구성도 바람직하다. 이 열적 결합 제어부는, 포커스 링을 정전력으로 흡착하기 위해, 하부 전극의 상면에 마련되는 정전 척과, 하부 전극 및 정전 척에 각각 형성된 관통 구멍을 거쳐서 정전 척과 포커스 링의 계면에 전열 가스를 공급하는 전열 가스 공급부를 갖는 구성이 바람직하다. 이와 같이 포커스 링의 가열하는 기구와 냉각하는 기구를 병용함으로써, 포커스 링의 온도를 자유롭게 가변 제어할 수 있다.
별도의 바람직한 1형태로서, 포커스 링의 온도를 검출하기 위한 온도 센서와, 포커스 링의 온도를 제어하기 위해, 온도 센서의 출력 신호를 피드백해서, 포커스 링의 가열에 이용되는 고주파의 파워 및 하부 전극의 온도 중 적어도 하나를 제어하는 온도 제어부를 구비하는 구성이어도 좋다.
본 발명의 제 3 플라즈마 처리 장치는 진공 배기 가능한 처리 용기와, 상기 처리 용기 내에서 피처리 기판을 탑재하는 전극과, 상기 전극의 상기 기판의 반경 방향 외측으로 비어져 나오는 주변 부분의 적어도 일부를 덮도록, 상기 전극에 부착되는 포커스 링과, 상기 기판에 원하는 플라즈마 처리를 실시하기 위해, 상기 처리 용기내의 상기 전극상에 설정된 처리공간에서 원하는 처리 가스의 플라즈마를 생성하는 플라즈마 생성부와, 상기 포커스 링을 원하는 온도까지 발열시키기 위해, 상기 포커스 링에 고주파 또는 교류의 전류를 공급하는 포커스 링 가열부를 갖는다.
상기 제 3 관점의 플라즈마 처리 장치는 포커스 링 가열 전용의 포커스 링 가열부를 구비하는 것에 의해, 처리공간의 플라즈마의 유무에 관계없이, 전극 온도로부터 독립적으로 포커스 링의 온도를 올릴 수 있다.
본 발명의 바람직한 1형태에 의하면, 포커스 링 가열부는, 고주파 또는 교류를 원하는 전력으로 출력하는 전원과, 이 전원의 출력 단자에 전기적으로 접속되고, 포커스 링과 제 1 위치에서 전기적으로 접속되는 제 1 단자와, 전기적으로 접지 가능하고, 제 1 위치와 둘레 방향에서 다른 제 2 위치에서 포커스 링과 전기적으로 접속되는 제 2 단자와, 상기 전원에 대해, 제 1 단자로부터 포커스 링을 거쳐서 제 2 단자에 이르는 고주파의 전파로를 포함하는 포커스 링 가열용의 부하를 임피던스 정합시키기 위한 정합기를 갖는다. 이 경우, 바람직하게는 제 1 단자 및 제 2 단자 중 적어도 하나가 포커스 링과 전기적으로 용량 결합되어도 좋다.
별도의 바람직한 1형태에 의하면, 포커스 링 가열부는, 고주파를 원하는 전력으로 출력하는 고주파 전원과, 이 고주파 전원의 출력 단자에 전기적으로 접속되고, 포커스 링의 가까이에 유도 결합 가능하게 배치되는 코일 전극과, 고주파 전원에 대해, 코일 전극과 포커스 링을 포함하는 포커스 링 가열용의 부하를 임피던스 정합시키기 위한 정합기를 갖는다.
또한, 별도의 바람직한 1형태에 있어서는 포커스 링이, 기판을 탑재하는 전극에 전기적으로 용량 결합으로 접속되는 동시에, 전극의 반경 방향 외측의 주위에서, 전기적으로 접지되는 도전성 부재에 유전체를 거쳐서 결합된다. 그리고, 포커스 링 가열부는 고주파 또는 교류를 원하는 전력으로 출력하는 전원과, 이 전원에 대해, 전극으로부터 포커스 링 및 유전체를 거쳐서 도전성 부재에 이르는 고주파 전파로를 포함하는 포커스 링 가열용의 부하를 임피던스 정합시키기 위한 정합기를 갖는다.
또한, 별도의 바람직한 1형태로서, 포커스 링 가열부는 포커스 링 가열용 부하의 임피던스를 가변 조정하기 위한 임피던스 조정부를 갖고, 포커스 링을 가열할 때는 포커스 링 가열용 부하의 임피던스를 상대적으로 작게 한다. 이 임피던스 조정부는 바람직한 1형태로서, 유전체 중에 마련된 공동과, 이 공동내에 용적 가변으로 수용되는 유동성의 유전체 물질을 갖는다.
본 발명의 플라즈마 처리 방법은 본 발명의 플라즈마 처리 장치를 이용해서, 피처리 기판에 다층 마스크(레지스트) 프로세스의 에칭을 실시하는 플라즈마 처리 방법으로서, 상기 다층 마스크(레지스트) 프로세스의 에칭을 개시하기 전 또는 실행하고 있는 동안에, 상기 포커스 링에 고주파 또는 교류의 전류를 흘려, 상기 포커스 링을 원하는 제 1 온도까지 발열시킨다. 또한, 바람직한 1형태로서, 다층 마스크(레지스트) 프로세스의 에칭에 계속해서, 다층 마스크(레지스트)의 하지막을 에칭하는 동안에는 포커스 링을 원하는 제 2 온도까지 냉각한다.
본 발명에 의하면, 포커스 링의 온도를 임의로 올리고 내릴 수 있으므로, 다층 마스크 프로세스의 각 에칭 공정마다 포커스 링의 온도를 최적으로 제어하고, 에칭 특성의 균일성을 향상시킬 수 있다.
본 발명의 플라즈마 처리 장치에 따르면, 상기와 같은 구성과 작용에 의해, 피처리 기판의 주위를 덮도록 서셉터에 부착되는 포커스 링을 기판으로부터 독립적으로 임의·간편 또한 효율적으로 가열하는 것이 가능하고, 더 나아가서는 포커스 링의 온도를 자유롭게 제어할 수 있다.
또한, 본 발명의 플라즈마 처리 방법에 따르면, 상기와 같은 구성과 작용에 의해, 다층 마스크 프로세스에 있어서 포커스 링의 온도를 자유롭게 제어해서 각 공정 단계의 에칭 공정에서 에칭 특성의 균일성을 도모할 수 있다.
도 1은 본 발명의 1실시형태에 있어서의 용량 결합형 플라즈마 처리 장치의 구성을 나타내는 종단면도이고,
도 2는 도 1의 플라즈마 처리 장치의 주요부의 구성을 나타내는 도면이고,
도 3은 실시형태에 있어서의 플라즈마 생성용 부하의 등가 회로를 나타내는 도면이고,
도 4는 실시형태에 있어서의 포커스 링 가열용 부하의 등가 회로를 나타내는 도면이고,
도 5의(a)는 실시형태에 있어서 포커스 링 가열용 부하에 가변 콘덴서를 마련하는 1실시예를 나타내는 도면이고,
도 5의(b)는 도 5(a)의 실시예에 있어서 상기 가변 콘덴서의 캐패시턴스를 크게 한 상태를 나타내는 도면이고,
도 6은 실시형태에 있어서 포커스 링 가열용 부하에 가변 콘덴서를 마련하는 별도의 실시예를 나타내는 도면이고,
도 7은 도 6의 실시예의 1변형예를 나타내는 도면이고,
도 8의 (a)~(d)는 HARC 프로세스에 이용되는 다층 레지스트 프로세스의 공정 수순을 설명하기 위한 단면도이고,
도 9는 다층 레지스트 프로세스에 있어서 포커스 링의 온도를 개별적으로 제어할 때의 온도 특성의 일예를 나타내는 도면이고,
도 10은 1실시예에 의한 포커스 링 전용 가열 기구의 구성을 나타내는 도면이고,
도 11은 도 10의 실시예의 1변형예를 나타내는 도면이고,
도 12는 별도의 실시예에 의한 포커스 링 전용 가열 기구의 구성을 나타내는 도면이고,
도 13(a)는 도 12의 포커스 링 전용 가열 기구에 있어서의 고주파 전류 전파 경로의 일예를 나타내는 도면이고,
도 13(b)는 도 12의 포커스 링 전용 가열 기구에 있어서의 고주파 전류 전파 경로의 별도의 예를 나타내는 도면이고,
도 14는 별도의 실시예에 의한 포커스 링 전용 가열 기구의 구성을 나타내는 도면이고,
도 15는 본 발명을 적용한 상하부 2주파 인가 방식의 용량 결합형 플라즈마 처리 장치의 주요부의 구성을 나타내는 일부 종단면도이고,
도 16은 본 발명을 적용한 상부 단주파 인가 방식의 용량 결합형 플라즈마 처리 장치의 주요부의 구성을 나타내는 일부 종단면도이고,
도 17(a)는 분할형의 포커스 링을 구비하는 플라즈마 처리 장치에 본 발명의 1실시예를 적용한 구성의 주요부를 나타내는 일부 종단면도이고,
도 17(b)는 도 17A의 실시예의 1변형예를 나타내는 일부 종단면도이고,
도 18은 본 발명의 분할형 포커스링 구조에 관한 다른 실시예를 나타내는 일부 종단면도이고,
도 19(a)는 본 발명의 분할형 포커스링 구조에 있어서의 포커스링 온도 제어 방법의 한 예를 나타내는 도면이고,
도 19(b)는 본 발명의 분할형 포커스링 구조에 있어서의 포커스링 온도 제어 방법의 다른 예를 나타내는 도면이고,
도 20은 본 발명의 분할형 포커스링 구조에 관한 다른 실시예를 나타내는 일부 종 단면도이다.
이하, 첨부 도면을 참조해서 본 발명의 바람직한 실시형태를 설명한다.
도 1에, 본 발명의 1실시형태에 있어서의 플라즈마 처리 장치의 구성을 나타낸다. 도 2에 이 플라즈마 처리 장치의 주요부의 구성을 나타낸다.
이 플라즈마 처리 장치는 하부 RF 2주파 인가 방식의 용량 결합형 플라즈마 에칭 장치로서 구성되어 있고, 예를 들면 알루미늄 또는 스테인리스강 등의 금속제의 원통형 챔버(처리 용기)(10)를 갖고 있다. 챔버(10)는 보안 접지되어 있다.
챔버(10)내에는 피처리 기판으로서 예를 들면 반도체 웨이퍼 W를 탑재하는 원판형상의 서셉터(12)가 하부 전극으로서 수평으로 배치되어 있다. 이 서셉터(12)는 예를 들면 알루미늄으로 이루어지며, 챔버(10)의 바닥으로부터 수직 위쪽으로 연장하는 절연체 예를 들면 세라믹스의 통형상 지지부(14)로 지지되어 있다. 이 통형상 지지부(14)의 외주를 따라 챔버(10)의 바닥으로부터 수직 위쪽으로 연장하는 도체 즉 알루미늄의 통형상 지지부(16)와 챔버(10)의 내벽의 사이에 고리형상의 배기로(18)가 형성되고, 이 배기로(18)의 바닥에 배기구(20)가 마련되어 있다.
배기구(20)에는 배기관(22)을 거쳐서 배기 장치(24)가 접속되어 있다. 배기 장치(24)는 터보 분자 펌프 등의 진공 펌프를 갖고 있으며, 챔버(10)내의 처리공간을 원하는 진공도까지 감압할 수 있다. 챔버(10)의 측벽에는 반도체 웨이퍼 W의 반입출구를 개폐하는 게이트밸브(26)가 부착되어 있다.
서셉터(12)에는 제 1 및 제 2 고주파 전원(28, 30)이 매칭 유닛(32) 및 급전봉(34)을 거쳐서 전기적으로 접속되어 있다. 여기서, 제 1 고주파 전원(28)은 주로 플라즈마의 생성에 기여하는 소정 주파수(통상 27㎒ 이상)의 제 1 고주파 HF를 출력한다. 한편, 제 2 고주파 전원(30)은 주로 서셉터(12)상의 반도체 웨이퍼 W에 대한 이온의 인입에 기여하는 소정 주파수(통상 13.56㎒ 이하)의 제 2 고주파 LF를 출력한다. 매칭 유닛(32)에는 제 1 고주파 전원(28)측의 임피던스와 플라즈마 생성용 부하(주로 하부 전극, 플라즈마, 상부 전극, 챔버)측의 임피던스의 사이에서 정합을 취하기 위한 제 1 정합기(32A)와, 제 2 고주파 전원(30)측의 임피던스와 이온 인입용 부하(주로 하부 전극, 플라즈마, 상부 전극, 챔버)측의 임피던스의 사이에서 정합을 취하기 위한 제 2 정합기(32B)가 수용되어 있다.
서셉터(12)는 반도체 웨이퍼 W보다도 한층 큰 직경 또는 구경을 갖고 있다. 서셉터(12)의 상면은 웨이퍼 W와 대략 동일 형상(원형)이고 또한 대략 동일 사이즈의 중심 영역 즉 웨이퍼 탑재부와, 이 웨이퍼 탑재부의 외측으로 연장하는 고리형상의 주변부로 구획되어 있다. 웨이퍼 탑재부의 위에 처리 대상의 반도체 웨이퍼 W가 탑재된다. 고리형상 주변부의 위에는 반도체 웨이퍼 W의 구경보다도 큰 내경을 갖는 링형상의 판재 소위 포커스 링(36)이 부착된다.
포커스 링(36)의 재질은 서셉터(12)와 플라즈마의 사이에서 고주파 HF, LF를 양호하게 통과시키고, 이온의 입사로 스퍼터되어도 기판상의 프로세스에 실질적인 영향을 주지 않으며, 또한 고주파 가열에 의해서 발열하는 적절한 저항분을 갖는 재질이 바람직하고, 예를 들면 Si, SiC, C 등을 바람직하게 사용할 수 있다. 또한, SiO2, Al2O3 등의 유전체에 도전성 물질을 혼합한 것도 사용 가능하다.
서셉터(12)의 상기 웨이퍼 탑재부에는 웨이퍼 흡착용의 주 정전 척(38)이 마련되어 있다. 이 주 정전 척(38)은 원형의 막형상 또는 판형상 유전체(38a) 중에 시트 형상 또는 메시 형상의 DC 전극(38b)을 봉입한 것이며, 서셉터(12)에 일체 형성 또는 일체 고착되어 있다. DC 전극(38b)은 챔버(10)의 밖에 배치되는 직류 전원(40)에 고압 배선 및 스위치(42)를 거쳐서 전기적으로 접속되어 있다. 직류 전원(40)으로부터의 고압의 직류 전압이 DC 전극(38b)에 인가되는 것에 의해, 쿨롱력으로 반도체 웨이퍼 W를 정전 척(38)상에 흡착할 수 있도록 되어 있다.
서셉터(12)의 반경 방향 외측의 주위에는 포커스 링(36)의 하면의 외측 근방 부분(반경 방향의 중심부로부터 외측 에지에 이르는 부분) 및 외주면과 양 통형상 지지부(14, 16)의 상면의 사이에 개재하는 링형상의 주변 유전체(44)가 마련되어 있다. 이 주변 유전체(44)의 재질은 예를 들면 석영이다.
1실시예로서, 도 2에 나타내는 바와 같이, 포커스 링(36)의 온도를 검출하기 위한 온도 센서(43)를 주변 유전체(44) 내에 또는 근방에 마련해도 좋다. 이 온도 센서(43)에는 예를 들면 형광 온도계(상품명: 럭스트론(luxtron)) 혹은 초전형(焦電型;pyroelectric) 적외선 센서 등을 사용할 수 있다. 초전형 적외선 센서를 사용할 때에는 포커스 링(36)의 하면의 피검출점 부근을 흑색 등으로 착색해도 좋다. 온도 센서(43)의 출력 신호는 포커스 링(36)의 온도를 제어할 때의 피드백 신호로서, 신호선(45)을 거쳐서 제어부(66)에 보내진다.
포커스 링(36)의 하면의 내측 근방 부분(내측 에지로부터 반경 방향의 중심부에 이르는 부분)은 서셉터(12) 상면의 고리형상 주변부에 마련된 주변 정전 척(46)의 위에 실려 있다. 이 주변 정전 척(46)은 링형상의 막형상 또는 판형상 유전체(46a) 중에 DC 전극(46b)을 봉입하고 있다. DC 전극(46b)도 스위치(42)를 거쳐서 직류 전원(40)에 전기적으로 접속되어 있고, 직류 전원(40)으로부터의 직류 전압이 DC 전극(46b)에 인가되는 것에 의해, 정전 흡착력으로 포커스 링(36)을 주변 정전 척(46)상에 흡착할 수 있도록 되어 있다.
이 실시형태에서는 주 정전 척(38)과 주변 정전 척(46)을 동일한 스위치(42)를 거쳐서 동일한 직류 전원(40)에 접속하고, 양 정전 척(38, 46)으로 흡착력을 동시에 발생시키도록 하고 있다. 그러나, 개별의 스위치 혹은 개별의 직류 전원을 이용해서, 양 정전 척(38, 46)을 선택적 또는 개별적으로 여기하는 구성으로 해도 좋다.
서셉터(12)의 내부에는 예를 들면 원주 방향으로 연장하는 고리형상의 냉매실(48)이 마련되어 있다. 이 냉매실(48)에는 칠러 유닛(도시하지 않음)으로부터 냉매 공급관(50, 52)을 거쳐서 소정 온도의 냉매 예를 들면 냉각수가 순환 공급된다. 냉매의 온도에 따라 서셉터(12)의 온도를 낮추는 방향으로 제어할 수 있다. 그리고, 서셉터(12)에 반도체 웨이퍼 W 및 포커스 링(36)을 각각 열적으로 결합시키기 위해, 전열 가스 공급부(53)로부터의 전열 가스 예를 들면 He 가스가, 각각의 가스 공급관(54, 56) 및 서셉터(12) 내부의 가스 통로(58, 60)를 거쳐서 주 정전 척(38)과 반도체 웨이퍼 W의 접촉 계면 및 주변 정전 척(46)과 포커스 링(36)의 접촉 계면에 공급되도록 되어 있다.
이 실시형태에서는 도 2에 나타내는 바와 같이, 가스 공급관(54, 56)의 도중에 개폐 밸브(62, 64)가 마련되고, 제어부(66)로부터의 제어 신호 Sc, Sp에 의해서 개폐 밸브(62, 64)가 독립적으로 온·오프하도록 되어 있다.
챔버(10)의 천장에는 서셉터(12)와 평행하게 대향해서 접지 전위의 상부 전극을 겸하는 샤워헤드(68)가 마련되어 있다. 이 샤워헤드(68)는 서셉터(12)와 대향하는 전극판(70)과, 이 전극판(70)을 그 배후(위)로부터 착탈 가능하게 지지하는 전극 지지체(72)를 갖고, 전극 지지체(72)의 내부에 가스실(74)을 마련하며, 이 가스실(74)로부터 서셉터(12)측에 관통되는 다수의 가스 토출 구멍(76)을 전극 지지체(72) 및 전극판(70)에 형성하고 있다. 전극판(70)과 서셉터(12) 사이의 공간이 플라즈마 생성 또는 처리공간으로 된다. 가스실(74)의 상부에 마련되는 가스 도입구(74a)에는 처리 가스 공급부(78)로부터의 가스 공급관(80)이 접속되어 있다. 또, 전극판(70)은 예를 들면 Si나 SiC로 이루어지고, 전극 지지체(72)는 예를 들면 알루마이트 처리된 알루미늄으로 이루어진다.
이 실시형태에서는 포커스 링(36)의 온도를 제어하기 위해, 매칭 유닛(32)내에 있어서, 도 2에 나타내는 바와 같이, 제 1 정합기(32A) 또는 제 2 정합기(32B)의 어느 하나에 스위치(82)를 거쳐서 직렬 또는 병렬로 접속 가능한 임피던스 부가 회로(84)가 매칭 포인트 조절용의 부가 회로로서 마련된다.
일예로서, 플라즈마 생성용의 제 1 정합기(32A)에 스위치(82)를 거쳐서 임피던스 부가 회로(84)를 접속할 수 있는 것으로 한다. 이 경우, 제어부(66)는 포커스 링(36)을 가열할 때에 스위치(82)를 온으로 해서 임피던스 부가 회로(84)를 제 1 정합기(32A)에 접속하고, 그 이외일 때에는 특히 서셉터(12)상의 반도체 웨이퍼 W에 대해 드라이 에칭 가공을 실행할 때에는 스위치(82)를 오프로 해서 임피던스 부가 회로(84)를 전기적으로 분리해 둔다. 임피던스 부가 회로(84)의 작용은 후에 설명한다.
제어부(66)는 이 플라즈마 에칭 장치내의 각 부, 예를 들면 배기 장치(24), 제 1 및 제 2 고주파 전원(28, 30), 제 1 및 제 2 정합기(32A, 32B), 정전 척용의 스위치(42), 칠러 유닛(도시하지 않음), 전열 가스 공급용의 개폐 밸브(62, 64), 처리 가스 공급부(78), 매칭 포인트 조절용의 스위치(82) 등을 제어하는 것이며, 마이크로 컴퓨터를 포함하고 있고, 호스트 컴퓨터(도시하지 않음) 등의 외부 장치와도 제어 신호나 데이터를 수수한다. 특히, 이 실시형태에 있어서의 제어부(66)는 서셉터(12)의 온도로부터 독립적으로 포커스 링(36)의 온도를 고주파 가열에 의해서 올리는 포커스 링 발열 동작, 더 나아가서는 포커스 링(36)의 발열과 서셉터(12)측으로부터의 냉각의 균형을 맞춤으로써 포커스 링(36)의 온도를 최적 제어하기 위한 소프트웨어를 장비하고 있다.
이 플라즈마 에칭 장치에 있어서의 낱장 드라이 에칭의 기본 동작은 다음과 같이 해서 실행된다. 우선, 게이트밸브(26)를 열어 가공 대상인 반도체 웨이퍼 W를 챔버(10)내에 반입하고, 중심 정전 척(38)의 위에 탑재한다. 그리고, 챔버(10)를 밀폐 상태로 해서 처리 가스 공급부(78)로부터 에칭 가스(일반적으로 혼합 가스)를 소정의 유량 및 유량비로 챔버(10)내에 도입하고, 배기 장치(24)에 의해 챔버(10)내의 압력을 설정값으로 한다. 또한, 제 1 및 제 2 고주파 전원(28, 30)을 온으로 해서 제 1 고주파 HF 및 제 2 고주파 LF를 각각 소정의 파워로 출력시키고, 이들 고주파 HF, LF를 매칭 유닛(32) 및 급전봉(34)을 거쳐서 서셉터(12)에 인가한다. 또한, 전열 가스 공급계의 개폐 밸브(62)를 온으로 해서, 주 정전 척(38)과 반도체 웨이퍼 W의 사이의 접촉 계면에 전열 가스(He 가스)를 공급하는 동시에, 정전 척용의 스위치(42)를 온으로 해서, 정전 흡착력에 의해 전열 가스를 상기 접촉 계면에 가두어 둔다. 샤워헤드(68)로부터 토출된 에칭 가스는 양 전극(12, 68)간에서 고주파의 방전에 의해서 플라즈마화하고, 이 플라즈마에서 생성되는 래디컬이나 이온에 의해서 반도체 웨이퍼 W 표면의 피가공막이 원하는 패턴으로 에칭된다.
이 플라즈마 에칭 장치는 서셉터(12)에 플라즈마 생성에 적합한 비교적 높은 주파수(27㎒ 이상)의 제 1 고주파 HF를 인가하는 것에 의해, 플라즈마를 바람직한 해리 상태에서 고밀도화하고, 더욱 저압의 조건하에서도 고밀도 플라즈마를 형성할 수 있다. 이와 동시에, 서셉터(12)에 이온 인입에 적합한 비교적 낮은 주파수(13.56㎒ 이하)의 제 2 고주파 LF를 인가하는 것에 의해, 서셉터(12)상의 반도체 웨이퍼 W에 대해 선택성이 높은 이방성의 에칭을 실시할 수 있다. 무엇보다도, 플라즈마 생성용의 제 1 고주파 HF는 어떠한 에칭 프로세스에서도 반드시 사용되지만, 이온 인입 제어용의 제 2 고주파 LF는 프로세스의 종류에 따라 사용되지 않는 경우가 있다.
또한, 이 플라즈마 에칭 장치에서는 서셉터(12)의 상면에, 반도체 웨이퍼 W 및 포커스 링(36)을 각각 흡착하기 위한 주 정전 척(38) 및 주변 정전 척(46)을 마련하고 있다. 그리고, 주 정전 척(38)과 반도체 웨이퍼 W의 접촉 계면에는 개폐 밸브(62)를 거쳐서, 주변 정전 척(46)과 포커스 링(36)의 접촉 계면에는 개폐 밸브(64)를 거쳐서, 전열 가스 공급부(53)로부터의 전열 가스를 각각 개별적으로 공급하고 있다. 여기서, 주정전 척(38)측의 개폐 밸브(62)는 어떠한 프로세스에서도 에칭 중에는 반도체 웨이퍼 W의 온도 제어(냉각)를 위해 온 상태로 전환되지만, 주변 정전 척(46)측의 개폐 밸브(64)는 프로세스의 종류에 따라 에칭 중에도 오프 상태로 유지되는 경우가 있다.
다음에, 이 실시형태의 플라즈마 에칭 장치에 있어서의 포커스 링 가열 기구의 구성 및 작용을 상세하게 설명한다.
이 플라즈마 에칭 장치에 있어서, 처리 가스 공급부(78)로부터의 에칭 가스가 양 전극(12, 68)간의 처리공간에 공급되고 있을 때, 제 1 고주파 전원(28) 및 제 1 정류기(32A)로부터 봐서, 서셉터(하부 전극)(12)로부터 처리공간(에칭 가스 공간)을 거쳐서 접지 전위의 샤워 헤드(상부 전극)(68) 및 챔버(10) 측벽에 이르는 고주파 HF의 전파 경로는 플라즈마 생성용의 부하(90)를 구성한다.
도 3에, 플라즈마 생성용 부하(90)의 등가 회로를 나타낸다. 이 플라즈마 생성용 부하(90)는 서셉터(하부 전극)(12)와 플라즈마 사이(더욱 정확하게는 반도체 웨이퍼 W 및 포커스 링(36)과 플라즈마 사이)에 형성되는 캐소드측 이온 시스의 임피던스 회로 ZL과, 접지 전위의 샤워 헤드(상부 전극)(68) 및 챔버(10) 측벽과 플라즈마의 사이에 형성되는 애노드측 이온 시스의 임피던스 회로 ZU가 직렬로 접속된 것으로서 나타난다.
여기서, 캐소드측 이온 시스의 임피던스 회로 ZL은 저항 RL1과 콘덴서 CL과 다이오드· 저항 직렬 회로(DL, RL2)를 병렬 접속한 회로로서 나타난다. 또한, 애노드측 이온 시스의 임피던스 회로 ZU는 저항 RU1과 콘덴서 CU와 다이오드· 저항 직렬 회로(DU, RU2)를 병렬 접속한 회로로서 나타난다.
한편, 제 1 고주파 전원(28) 및 제 1 정합기(32A)로부터 봐서, 서셉터(12)로부터 포커스 링(36) 및 유전체(44)를 거쳐서 접지 전위의 통형상 지지 부재(16)에 이르는 주변의 경로도 제 1 고주파 HF의 전파 경로가 될 수 있다. 또, 이 통형상 지지 부재(16)는 RF적으로 저 임피던스이고, 또는 큰 정전 용량으로 접지 전위에 접속되어 있으면, 직접 접지되어 있지 않아도 좋다. 이 실시형태에서는 이 주변 고주파 전파 경로를 포커스 링 가열용의 부하(92)로서 이용한다.
이 포커스 링 가열용 부하(92)의 등가 회로는 도 3에 나타내는 바와 같이, 전형적으로는 콘덴서 C1, C2, C3, C4, 저항 R1로 구성된다. 여기서, 콘덴서 C1은 서셉터(12)와 포커스 링(36)의 사이의 용량(주로 주변 정전 척(46)의 용량)이다. 콘덴서 C2와 콘덴서· 저항 직렬 회로(C3, R1)의 병렬 회로는 포커스 링(36)의 임피던스를 나타낸다. 콘덴서 C4는 포커스 링(36)과 접지 전위의 통형상 지지 부재(16)의 사이의 용량(주로 주변 유전체(44)의 용량)이다.
무엇보다도, 이 포커스 링 가열용 부하(92)에 있어서는 유전체(44)가 주는 콘덴서 C4의 캐패시턴스가 장치내의 고주파 전파로 중에서 상대적으로 매우 작다(즉, 리액턴스가 매우 크다). 따라서, 플라즈마 생성용 부하(90)가 존재하는 한(즉, 플라즈마 에칭 중에), 제 1 고주파 전원(28) 및 제 1 정합기(32A)로부터 봐서, 포커스 링 가열용 부하(92)의 임피던스는 플라즈마 생성용 부하(90)의 임피던스에 비해 실질적으로 무한대라고 간주할 수 있을 정도로 현격히 크기 때문에, 제 1 고주파 전원(28)으로부터의 제 1 고주파 HF의 전류 iHF는 그 전부 또는 대부분이 제 1 정합기(32A)를 통해 플라즈마 생성용 부하(90)측에 흐른다. 이 때, 포커스 링 가열용 부하(92)에는 고주파 전류 iHF는 거의 흐르지 않고, 포커스 링(36)의 저항 R1에서 발생하는 줄 열은 무시할 수 있을 정도로 적다.
제 1 정합기(32A)는 도시 생략하지만, 예를 들면 2개의 가변 콘덴서 CA, CB를 포함하는 정합 회로, RF센서, 컨트롤러, 스테핑 모터 등을 구비하고 있으며, 부하측으로부터의 반사파를 최소화하도록 양 가변 콘덴서 CA, CB의 캐패시턴스 포지션을 자동적으로 조절하고, 또한 부하의 변동에 따라 그 매칭 포지션을 자동적으로 보정하는 오토 매칭 기능을 갖고 있다.
이 플라즈마 에칭 장치에 있어서, 제 1 고주파 전원(28)을 온으로 해서 제 1 정합기(32A)를 작동시켜도, 챔버(10)내의 처리공간에 에칭 가스를 공급하지 않는 한은 고주파 방전이 일어나지 않고, 즉 플라즈마는 생성되지 않으며, 따라서 이온 시스는 형성되지 않고, 플라즈마 생성용 부하(90)는 실질적으로 존재하지 않는다. 이 경우, 플라즈마 생성용 부하(90)로 치환되어 포커스 링 가열용 부하(92)가 제 1 고주파 전원(28)에 대해 실질상의 부하로 되고, 제 1 정합기(32A)는 제 1 고주파 전원(28)에 대해 포커스 링 가열용 부하(92)를 임피던스 정합시키도록 동작한다. 이와 같이 해서, 도 4에 나타내는 바와 같이, 제 1 고주파 전원(28)으로부터의 고주파 전류 iHF의 전부 또는 대부분이 제 1 정합기(32A)를 통해 포커스 링 가열용 부하(92)에 흐른다. 이 때, 포커스 링(36)은 저항 R1에서 발생하는 줄 열에 의해서 발열한다. 이 발열량은 제 1 고주파 전원(28)의 출력 파워를 가변하는 것에 의해서 증감할 수 있다. 이와 같이 해서, 제 1 고주파 전원(28)으로부터의 제 1 고주파 HF를 이용한 고주파 가열에 의해서 포커스 링(36)의 온도를 서셉터 온도로부터 독립적으로 임의로 올릴 수 있다.
이 실시형태에서는 상기와 같이 제 1 고주파 전원(28)으로부터의 제 1 고주파 HF를 포커스 링(36)의 가열에 이용할 때에는 스위치(82)를 온으로 해서 임피던스 부가 회로(84)를 직렬 접속 또는 병렬 접속으로 제 1 정합기(32A)의 정합 회로에 부가한다.
이 임피던스 부가 회로(84)는 1개 또는 복수개의 콘덴서 및/ 또는 인덕터를 갖고, 제 1 정합기(32A)내의 정합 회로에 접속됨으로써 정합 회로망의 일부를 구성한다. 그리고, 제 1 고주파 HF를 포커스 링(36)의 가열에 이용할 때에 임피던스 부가 회로(84)를 접속한 제 1 정합기(32A)에서 얻어지는 매칭 포인트가 제 1 고주파 HF를 플라즈마의 생성에 이용할 때에 임피던스 부가 회로(84)를 분리한 제 1 정합기(32A)에서 얻어지는 매칭 포인트에 근접하도록, 임피던스 부가 회로(84)의 임피던스 ZM이 설정된다. 이것에 의해, 제 1 정합기(32A)는 제 1 고주파 전원(28)에 대한 실질상의 부하가 플라즈마 생성용 부하(90)로부터 포커스 링 가열용 부하(92)로 전환되어도, 혹은 포커스 링 가열용 부하(92)로부터 플라즈마 생성용 부하(90)로 전환되어도, 매칭 포인트를 거의 또는 조금밖에 움직이지 않아 순시적(瞬時)이고 또한 안정되게 정합을 취할 수 있다.
이 실시형태에 있어서는 포커스 링 가열용 부하(92)의 임피던스를 가변할 수 있는 구성, 특히 제 1 고주파 HF를 포커스 링(36)의 가열에 이용할 때에 포커스 링 가열용 부하(92)의 임피던스를 한층 낮추는 구성을 바람직하게 취할 수 있다. 구체적으로는 포커스 링 가열용 부하(92) 중에서 주변 유전체(44) 주위의 콘덴서 C4를 가변 콘덴서로서 구성할 수 있다.
예를 들면, 도 5(a) 및 도 5(b)에 나타내는 바와 같이, 주변 유전체(44) 중에 고리형상으로 연장하는 공동(94)을 형성하고, 이 공동(94)내에 예를 들면 가르덴 혹은 플루오르이너트(fluorinert) 등의 고유전율을 갖는 유전성 액체 Q를 용적 가변으로 수용하는 구성을 취할 수 있다. 이 실시예에 의하면, 공동(94)내에 수용되는 유전성 액체 Q의 용적을 크게 할수록 콘덴서 C4의 캐패시턴스는 커지고, 반대로 유전성 액체 Q의 수용 용적을 작게 할수록 콘덴서 C4의 캐패시턴스는 작아진다. 또, 공동(94)내의 유전성 액체 Q를 넣고 빼기 위해, 챔버(10)의 밖에 배치되는 탱크(도시하지 않음)와 공동(94)의 사이를 구부림관 등의 배관(95)으로 접속해도 좋다.
도시한 실시예에 의하면, 제 1 고주파 HF를 포커스 링(36)의 가열에 이용할 때에는 공동(94)내에 유전성 액체 Q를 충진해서 콘덴서 C4의 캐패시턴스를 크게 하고, 이에 의해서 포커스 링 가열용 부하(92)의 임피던스를 한층 낮게 하여, 고주파 전류 iHF가 흐르기 쉬운 고주파 전파로로 할 수 있다. 공동(94)내의 유전성 액체 Q의 용적을 가변함으로써, 포커스 링 가열용 부하(92)의 임피던스를 가변하고, 포커스 링(36)의 발열량을 가변하는 것도 가능하다.
또한, 제 1 고주파 HF를 플라즈마의 생성에 이용할 때에는 공동(94)으로부터 유전성 액체 Q를 빼내어 콘덴서 C4의 캐패시턴스를 작게 하고, 이에 의해서 포커스 링 가열용 부하(92)의 임피던스를 한층 높게 해서, 고주파 전류 iHF를 거의 흘리지 않도록 할 수 있다.
도 6은 포커스 링 가열용 부하(92)의 콘덴서 C4를 가변 콘덴서로서 구성하는 별도의 실시예를 나타낸다. 이 실시예에서는 주변 유전체(44) 중에 바람직하게는 포커스 링(36)에 근접시켜 고리형상으로 연장하는 그라운드 전극(96)을 매립하는 동시에, 이 그라운드 전극(96)과 접지 전위의 도전 부재(예를 들면 챔버(10))의 사이에 스위치(98)를 마련한다. 제어부(66)는 제 1 고주파 HF를 플라즈마의 생성에 이용할 때에는 스위치(98)를 오프로 해 두고, 제 1 고주파 HF를 포커스 링(36)의 가열에 이용할 때에는 스위치(98)를 온으로 한다.
스위치(98)가 오프 상태에 있을 때에는 그라운드 전극(96)이 전기적으로 플로팅 상태가 되고, 콘덴서 C4의 캐패시턴스는 주변 유전체(44) 중에 그라운드 전극(96)이 들어가 있지 않은 경우와 동일하게 된다. 스위치(98)가 온 상태에 있을 때에는 그라운드 전극(96)이 접지되는 것에 의해서, 콘덴서 C4의 전극간 거리가 현저하게 좁아지고, 그만큼 콘덴서 C4의 캐패시턴스가 현저하게 증대한다.
또, 도 7에 나타내는 바와 같이, 제 1 정합기(32A)의 매칭 포인트 변동을 적게 하기 위한 임피던스 부가 회로(84)를 그라운드 전극(96)과 접지 전위 부재의 사이에 접속하는 것도 가능하다. 이 경우, 스위치(98)에, 임피던스 부가 회로(84)용의 스위치(82)를 겸용시킬 수 있다.
다음에, 도 8 및 도 9에 대해, 이 실시형태의 플라즈마 에칭 장치를 이용하는 응용예의 1실시예를 설명한다.
도 8(a)~(d)에, HARC(High Aspect Ratio Contact) 프로세스에 포함되는 다층 레지스트 프로세스의 공정 수순을 나타낸다. 도면 중, 위로부터 제 1 층의 막(100)은 통상의 레지스트이며, 포토리소그래피에 의해 패터닝된다. 제 2 층의 막(102)은 BARC(반사 방지막), 제 3 층의 막(104)은 중간 마스크에 이용되는 SiN막, 제 4 층의 막(106)은 본래(최종)의 피가공막인 절연막의 SiO2층이다. 부재 번호 108은 하지 기판이다.
다층 레지스트 프로세스에 있어서는 최초에 마스크 처리로서, 레지스트 패턴(100)을 에칭 마스크로 해서 BARC(102) 및 SiN막(104)을 순차 에칭한다(도 8의 (a)→(b)). 이 마스크 처리의 BARC 에칭 및 SiN 에칭에서는 상층 레지스트 패턴(100)의 전사 정밀도 즉 형상유지(손상·변형의 방지)가 중시되기 때문에, 플라즈마 생성용의 제 1 고주파 HF의 파워는 약간 낮게(예를 들면 1000W 이하) 설정되고, 이온 인입 제어용의 제 2 고주파 LF의 파워는 더욱 약간 낮게(예를 들면 100W 이하) 설정된다.
상기와 같은 마스크 처리의 후에, 애싱에 의해 레지스트 패턴(100) 및 BARC(102)의 잔여막을 제거한다(도 8의 (c)). 다음에, SiN막(104)을 에칭 마스크로 해서 SiO2층을 이방성 에칭하고, 콘택트 홀(109)을 형성한다(도 8의 (d)).
이 실시예에 의하면, 상기와 같은 다층 레지스트 프로세스에 있어서, 포커스 링(36)의 온도를 예를 들면 도 9에 나타내는 바와 같은 특성으로 개별적으로 제어할 수 있다.
더욱 상세하게는 마스크 처리의 개시 시점을 t1로 하면, 그 직전의 소정 시간(t0∼t1) 동안에 포커스 링(36)을 상기와 같은 제 1 고주파 HF를 이용한 고주파 가열에 의해서 발열시키고, 포커스 링(36)의 온도를 소정 온도 Ta까지 상승시킨다. 이 포커스 링 가열 기간 중에는 상기와 같이 처리 가스 공급부(78)를 정지시켜 둘 뿐만 아니라, 정전 척용의 스위치(42) 및 전열 가스 공급계의 개폐 밸브(62, 64)를 오프로 해서 포커스 링(36)에 대한 냉각 기구를 정지시켜 둔다. 포커스 링(36)의 온도 제어를 정확하게 실행하기 위해, 온도 센서(43)로부터 포커스 링(36)의 온도를 제어부(66)에 피드백할 수 있다. 또, 포커스 링 가열 기간중에, 반도체 웨이퍼 W는 임의의 장소에 있어도 좋고, 예를 들면 챔버(10)에 반입되는 도중에 있어도 좋다.
시점 t1에서 마스크 처리가 개시된 후에는 포커스 링(36)의 가열을 멈추고, 제 1 고주파 전원(28)으로부터의 제 1 고주파 HF를 드라이 에칭을 위해, 즉 플라즈마 생성을 위해 이용해도 좋다. 그리고, 마스크 처리의 BARC 에칭 및 SiN 에칭을 실행하는 동안에는 정전 척용의 스위치(42) 및 전열 가스 공급계의 한쪽의 개폐 밸브(62)를 온으로 해서 주 정전 척(38)상의 반도체 웨이퍼 W에 대해서는 서셉터(12)와의 열적 결합에 의한 냉각 기구를 작동시키는 한편, 전열 가스 공급계의 다른쪽의 개폐 밸브(64)를 오프 상태로 유지해서 포커스 링(36)으로의 전열 가스 공급을 중지시켜 둔다. 이것에 의해서, 포커스 링(36)은 서셉터(12)와 열적 결합을 갖지 않기 때문에, 감압 하에서 마스크 처리 직전의 초기온도 Ta로부터의 온도 저하를 최소한으로 막을 수 있다.
한편, 마스크 처리 중에 서셉터(12)상의 반도체 웨이퍼 W의 온도는, 플라즈마로부터의 입열과 서셉터(12)측으로부터의 냉각의 균형을 맞춤으로써 제어된다. 이 실시예에서는 마스크 처리 시간(t1∼t2)을 통해, 포커스 링(36)의 온도(Ta∼Tb)가 반도체 웨이퍼 W의 온도보다도 한층 또는 적절히 높은 온도로 유지되는 온도 제어를 실행할 수 있다. 이것에 의해, 반도체 웨이퍼 W상에서 에지부의 에칭 레이트를 상대적으로 억제하여, 에칭 특성의 면내 균일성을 향상시킬 수 있다.
마스크 처리 후에는 애싱 및 SiO2 에칭(주 에칭)의 처리 시간(t2∼t3)을 통해, 정전 척용의 스위치(42) 및 전열 가스 공급계의 양 개폐 밸브(62, 64)를 온 상태로 유지하고, 포커스 링(36)에 대해서도 서셉터(12)와의 열적 결합에 의한 냉각 기구를 작용시켜도 좋다. 이것에 의해, SiO2 에칭에서는 포커스 링(36)의 온도를 충분히 낮게 함으로써, 선택성, 수직형상 가공성, 콘택트 홀 바닥의 균일성을 향상시킬 수 있다.
다음에, 도 10∼도 14에 대해, 이 실시형태의 플라즈마 에칭 장치에 있어서 포커스 링(36)을 가열하기 위한 전용의 가열 기구를 구비하는 실시예를 설명한다.
도 10에 나타내는 실시예는 포커스 링(36)을 가열하기 위해 전용의 제 3 고주파 전원(110) 및 제 3 정합기(112)를 이용한다. 제 3 정합기(112)의 출력 단자는 하부 급전봉(34)을 거쳐서 서셉터(12)에 접속되어도 좋다.
제 3 고주파 전원(110)으로부터 출력되는 고주파 RF는 포커스 링 가열용 부하(92)의 임피던스가 최소 또는 극소로 되는 주파수로 설정되어도 좋다. 예를 들면, 포커스 링 가열용 부하(92)가 도 3 및 도 4에 나타내는 바와 같이 콘덴서 C1∼C4와 저항 R1로 구성되는 경우에는 콘덴서 C1∼C4의 리액턴스를 가급적 낮게 하는 높은 주파수(예를 들면 200㎒ 이상)로 설정되어도 좋다. 혹은 포커스 링 가열용 부하(92)가 인덕턴스 성분을 갖는 경우에는 그 직렬 공진 주파수 또는 이에 가까운 값의 주파수로 설정되어도 좋다.
도 11에, 상기 실시예(도 10)의 1변형예를 나타낸다. 이 변형예는 제 3 고주파 전원(110)으로서 주파수 가변형의 고주파 전원을 이용한다. 제 3 고주파 전원(110)의 주파수를 가변해서, 포커스 링 가열용 부하(92)를 흐르는 고주파 전류 iRF의 전류값이 최대 또는 극대로 되는 주파수에 맞출 수 있다.
도 12 및 도 13에, 별도의 실시예에 의한 포커스 링 가열 기구를 나타낸다. 이 포커스 링 가열 기구는 제 3 고주파 전원(110)으로부터의 고주파 전류 iRF를, 서셉터(12)를 통해 포커스 링(36)을 반경 방향으로 횡단시키는 것이 아니라, 서셉터(12)를 통과시키지 않고 포커스 링(36)을 둘레 방향으로 종단시키도록 하고 있다. 더욱 상세하게는 도 12에 나타내는 바와 같이, 포커스 링(36)에 근접시켜서 둘레 방향의 다른 위치에, 예를 들면 도 13(a)에 나타내는 바와 같이 180° 간격으로, 혹은 도 13(b)에 나타내는 바와 같이 90° 간격으로 주변 유전체(44) 중에 제 1 및 제 2 단자(114, 116)를 매립한다. 그리고, 제 1 단자(114)를 스위치(115)를 거쳐서 제 3 정합기(112)의 출력 단자에 접속하고, 제 2 단자(116)를 스위치(117)를 거쳐서 접지 전위의 도전성 부재(예를 들면 챔버(10))에 접속한다.
상기의 구성에 있어서, 제 1 및 제 2 단자(114, 116)는 각각의 설치 위치에서 포커스 링(36)에 용량 결합된다. 양 스위치(115, 117)를 온시켜서 제 3 고주파 전원(110)으로부터 고주파 RF를 출력시키면, 제 3 고주파 전원(110)→제 3 정합기(112)→스위치(115)→제 1 단자(114)→포커스 링(36)→제 2 단자(116)→접지 전위 부재의 경로로 고주파 전류 iRF가 흐른다. 제 3 정합기(112)는 제 1 단자(114)로부터 포커스 링(36)을 거쳐서 제 2 단자(116)에 이르는 고주파 전파로 즉 포커스 링 가열용의 부하를 제 3 고주파 전원(110)에 임피던스 정합시키도록 동작한다.
또한, 1변형예로서, 제 1 및 제 2 단자(114, 116)를 포커스 링(36)에 즉시 접속해도 좋고, 더 나아가서는 제 3 고주파 전원(110) 대신에 상용 교류(AC)의 전원을 사용하는 것도 가능하다.
도 14에, 전자 유도를 이용하는 별도의 실시예를 나타낸다. 이 방식은 포커스 링(36)의 근방 예를 들면 주변 유전체(44) 중에 포커스 링(36)을 따라 일주하는 링형상의 코일 전극(120)을 매립하고, 코일 전극(120)의 일단을 스위치(122)를 거쳐서 제 3 고주파 전원(110)의 출력 단자에 접속하며, 코일 전극(120)의 타단을 스위치(124)를 거쳐서 접지 전위 부재(예를 들면 챔버(10))에 접속한다. 스위치(122, 124)를 온으로 해서, 제 3 고주파 전원(110)으로부터의 고주파 전류 iRF를 코일 전극(120)에 흘리는 것에 의해서, 전자 유도 또는 유도 결합에 의해 포커스 링(36)에 와전류 또는 유전 손실을 발생시키고, 포커스 링(36)을 내부로부터 발열시킬 수 있다. 제 3 정합기(112)는 코일 전극(120) 및 포커스 링(36)을 포함하는 포커스 링 가열용의 부하를 제 3 고주파 전원(110)에 임피던스 정합시키도록 동작한다.
상기와 같은 포커스 링 가열 전용의 고주파 전원(110) 및 정합기(112)는 플라즈마 생성용의 제 1 고주파 전원(28) 및 제 1 정합기(32A) 혹은 이온 인입용의 제 2 고주파 전원(30) 및 제 2 정합기(32B)로부터 독립적으로 동작할 수 있다. 따라서, 챔버(10)내에서 플라즈마 프로세스를 실행하지 않는 동안에는 물론, 플라즈마 프로세스를 실행하고 있는 동안에도 포커스 링(36)을 가열하는 것이 가능하다. 또, 포커스 링 가열 전용의 고주파 전원(110) 및 정합기(112)를 구비하는 경우에도, 포커스 링(36)의 온도를 피드백 방식으로 제어하기 위한 온도 센서(43) 및 온도 제어부(제어부(66)의 1기능)를 사용할 수 있는 것은 물론이다.
이상, 본 발명의 바람직한 1실시형태에 대해 설명했지만, 본 발명은 상기 실시형태에 한정되는 것은 결코 아니며, 다른 실시 형태 및 각종 변형이 가능하다.
예를 들면, 상술한 실시형태는 하부 RF 2주파 인가 방식의 용량 결합형 플라즈마 에칭 장치에 관한 것이었지만, 다른 방식의 용량 결합형 플라즈마 에칭 장치에도 본 발명은 적용 가능하다.
예를 들면, 도시는 생략하지만, 플라즈마 생성용의 제 1 고주파 HF를 하부 전극(12)에 인가하고, 이온 인입용의 제 2 고주파 LF를 사용하지 않는 하부 RF 단주파 인가 방식의 용량 결합형 플라즈마 에칭 장치에 있어서는 상술한 실시형태와 마찬가지로 해서 제 1 고주파 HF를 포커스 링(36)의 고주파 가열에 이용할 수 있다.
또한, 도 15에 나타내는 바와 같이 플라즈마 생성용의 제 1 고주파 HF를 상부 전극(68)에 인가하고, 이온 인입용의 제 2 고주파 LF를 하부 전극(12)에 인가하는 상하부 2주파 인가 방식의 용량 결합형 플라즈마 에칭 장치에 있어서는 상술한 실시형태와 마찬가지로 해서 제 2 고주파 LF를 포커스 링(36)의 고주파 가열에 이용할 수 있다.
또한, 도 16에 나타내는 바와 같이 플라즈마 생성용의 제 1 고주파 HF를 상부 전극(68)에 인가하고, 이온 인입용의 제 2 고주파 LF를 사용하지 않는 상부 RF 단주파 인가 방식의 용량 결합형 플라즈마 에칭 장치에 있어서는 상술한 실시형태와 마찬가지로 해서 포커스 링 가열 전용의 제 3 고주파 RF를 포커스 링(36)의 고주파 가열에 이용할 수 있다.
또, 도 15 및 도 16에 있어서, 애노드 커플의 상부 전극(68)은 절연체(126)를 거쳐서 챔버(10)로부터 전기적으로 절연된 상태에서 부착된다.
또한, 하부 전극에, 플라즈마 생성용의 고주파, 이온 인입용의 고주파를 포함하는 3개의 고주파를 인가하는, 하부 RF 3주파 인가 방식의 용량 결합형 플라즈마 에칭 장치에 있어서도, 상술한 실시형태와 마찬가지로 해서 포커스 링(36)을 고주파 가열할 수 있다.
또한, 본 발명은 용량 결합형 플라즈마 에칭 장치에 한정되는 것은 아니고, 챔버의 상면 또는 주위에 안테나를 배치해서 유전자계 하에서 플라즈마를 생성하는 유도 결합형 플라즈마 처리 장치나, 마이크로파의 파워를 이용해서 플라즈마를 생성하는 마이크로파 플라즈마 처리 장치 등에도 적용 가능하며, 더 나아가서는 플라즈마 CVD, 플라즈마 산화, 플라즈마 질화, 스퍼터링 등의 다른 플라즈마 처리 장치에도 적용 가능하다. 또한, 본 발명에 있어서의 피처리 기판은 반도체 웨이퍼에 한정되는 것은 아니고, 플랫 패널 디스플레이용의 각종 기판이나, 포토 마스크, CD 기판, 프린트 기판 등도 가능하다.
또한, 본 발명의 플라즈마 처리 장치에서 이용하는 각 부재, 특히 포커스 링 및 주변 유전체의 형상· 구조·재질도 각종 변형· 선택이 가능하다. 예를 들면, 도 17(a) 및 도 17(b)에 나타내는 바와 같이, 반경 방향에서 내측과 외측으로 분할된 동심형상의 복수 예를 들면 2개의 포커스 링(36A, 36B)을 서셉터(12)에 부착하는 구성도 가능하다.
이 분할식의 포커스 링 구조에 있어서, 내측 포커스 링(36A)은 서셉터(12)의 상면에 전열 시트(128)를 거쳐서 열적으로 결합되어 있고, 서셉터(12)측의 냉각 기구에 의해서 온도 제어를 받는다. 한편, 외측 포커스 링(36B)은 서셉터(12)와는 전기적으로 용량 결합될 뿐이므로, 서셉터(12)와의 열적인 결합성은 챔버(10)내가 진공으로 유지되어 있기 때문에 낮다.
다른 견해를 취하면, 외측 포커스링(36B)은 포커스링 가열용 부하(92)(도 3, 도 4)의 고주파 전파로를 형성하는 것에 대해서, 내측 포커스링(36A)은 포커스링 가열용 부하(92)의 고주파 전파로로부터 실질적으로 벗어나 있다. 즉, 외측 포커스링(36B)은 포커스링 가열용 부하(92)에 포함되는 것에 반해서, 내측 포커스링 (36A)은 포커스링 가열용 부하(92)로부터 독립되어 있다.
이러한 분할형 포커스링 구성에 있어서는, 내측 포커스링(36A) 및 외측 포커스링(36B)의 온도를 각각 독립적으로 제어하는 것이 가능하며, 이에 따라서 플라즈마 프로세스의 특성(예를 들면 에칭 레이트나 퇴적 레이트 등의 지름 방향 분포 혹은 면내 균일성)에 있어서 포커스링(36A, 36B)이 서셉터(12)상에 탑재된 반도체 웨이퍼 W에 미치는 작용의 가변성 또는 제어성을 향상시킬 수 있다.
이와 같은 내측 및 외측 포커스링(36A, 36B)의 독립성을 충분히 발휘시키려면, 도 17(a) 및 도 17(b)의 전열 시트(128) 대신에, 도 18에 나타내는 것과 같이 내측 포커스링(36A)과 서셉터(12) 사이에 내측 주변 정전척(46A)을 마련하는 구성을 적절히 취할 수 있다. 이 경우, 내측 주변 정전척(46A)을 흡착 여자(勵磁)시키기 위한 고압 직류전원(40A) 및 스위치(42A)는, 주 정전척(38)용의 직류전원(40) 및 스위치(42)로부터 독립되어 있는 것이 바람직하다.
도 18의 구성 예에서는, 내측 주변 정전척(46A)과 내측 포커스링(36A)의 계면에, 전열 가스 공급부(53)(도 2)로부터의 독립된 가스 공급관(56A)을 거쳐서 전열 가스를 공급하도록 하고 있다. 그러나, 내측 포커스링(36A)과 서셉터(12)의 사이에서 내측 주변 정전척(46A)을 거쳐서 충분히 큰 열적 결합을 얻을 수 있는 경우에는, 상기 전열 가스 공급계(가스 공급관 56A, 밸브(64A))를 생략하는 것도 가능하다.
또한, 도 18의 구성 예에서는, 외측 포커스링(36B)의 하부 내주면을 서셉터(12)의 상부 외주면에 대향시키고 있으며, 포커스링 가열용 부하(92)에서의 양자(36B, 12)간의 전기적 결합도를 크게 하고 있다.
도 19(a)에, 분할형 포커스링 구조에 있어서의 포커스링 온도 제어 방법의 한 예를 나타낸다. 도시된 예는, 예를 들면 상기 다층 레지스트 프로세스(도8)와 같은 멀티 스텝 방식의 플라즈마 프로세스를 상정하고 있으며, 최초의 기간 [t0 ~ t1]은 프로세스 개시 전의 시즈닝이며, 다음의 기간 [t1 ~ t2]에서 제 1 스텝의 프로세스가 소정의 조건에서 행해지며, 그 다음의 기간 [t2 ~ t3]에서 제 2 스텝의 프로세스가 소정의 조건에서 행해진다.
이 경우, 시즈닝 기간[t0 ~ t1]에 있어서는, 포커스링 가열용 부하(92)를 온(ON)(통전)시키면, 도 19(a)에 나타내는 것과 같이, 외측 포커스링(36B)는 줄 열을 발생하여 그 온도가 상승하지만, 내측 포커스링(36A) 쪽은 줄 열을 거의 발생하지 않기 때문에 온도는 거의 상승하지 않는다.
프로세스가 개시되면, 제 1 스텝 [t1 ~ t2]에서는, 플라즈마로부터의 입열에 의해 내측 포커스링(36A)의 온도가 상승하기 시작하는데, 예를 들면 시간 TC에서 내측 주변 정전척(46A)을 온으로 함에 따라 내측 포커스링(36A)를 소정의 온도로 유지할 수 있다. 한편, 외측 포커스링(36B)은 시즈닝 기간 동안 축적된 열과 플라즈마로부터의 입열의 균형을 맞춤으로써 내측 포커스링(36A)의 온도보다도 높은 온도로 유지된다.
다음의 제 2 스텝 [t2 ~ t3]에 있어서는, 이 예는, 플라즈마에 인가하는 RF파워를 더욱 증가시키고 있다. 이 때문에, 내측 포커스링(36A)에 인가하는 전열 가스의 유량을 한층 더 증대시켜, 내측 포커스링(36A)의 온도를 한층 더 낮은 소정의 값으로 낮추도록 하고 있다. 한편, 외측 포커스링(36B) 쪽은, 방열보다도 플라즈마로부터의 입열이 훨씬 크므로 온도가 상승하도록 되어 있다.
다른 온도 제어 방법으로서, 도 19(b)에 나타내는 것과 같이, 시즈닝 기간[t0 ~ t1]에 있어서의 포커스링 가열용 부하(92)를 오프(OFF)(무통전)로 유지하는 것도 가능하다. 이 경우, 프로세스가 개시되면, 플라즈마로부터의 입열에 의해 내측 및 외측 포커스링(36A, 36B) 양측 모두 온도가 상승한다. 그러나, 예를 들면 시간 TC에 내측 주변 정전척(46A)을 온으로 함에 따라, 내측 포커스링(36A)을 소정의 온도로 유지할 수 있다. 한편, 외측 포커스링(36B)은, 플라즈마로부터의 입열에 의해서 온도가 계속 상승한다. 이 예의 제 2 스텝 [t2 ~ t3]에서는, 제 1 스텝 [t0 ~ t1]일때보다도, 내측 포커스링(36A)에 인가하는 전열 가스의 용량을 약간 감소시켜, 내측 포커스링(36A)의 온도를 약간 높은 소정의 값으로 올리도록 하고 있다.
도 20에 나타내는 구성예에서는, 내측 및 외측 포커스링(36A, 36B)을 각각 독립된 내측 및 외측 주변 정전척(46A, 46B)을 거쳐서 서셉터(12)에 부착되는 것이다. 이 경우도, 외측 포커스링(36B)은 포커스링 가열용 부하(92)에 포함됨에 반해, 내측 포커스링(36A)은 포커스링 가열용 부하(92)로부터 독립되어 있다.
이와 같이 외측 포커스링(36B)와 서셉터(12) 사이에, 독립된 외측 주변 정전척(46B) 및 전압 인가부(직류 전원(40B), 스위치(42B))를 마련함으로써, 더욱 바람직하게는 독립된 전열 가스 공급계(가스 공급관(56B), 개폐 밸브(64B))를 구비함에 따라, 외측 포커스링(36B)의 온도를 더 자유롭게 제어할 수 있다. 예를 들면, 도 19(a) 및 도 19(b)에 도시된 예에서의 가상선(일점 쇄선)K와 같은 온도 제어도 가능하다.
또한, 상기와 같은 분할형 포커스링 구조 (도 17~도 20)에 있어서는, 포커스링 가열용 부하(92)에 포함되지 않는 내측 포커스링(36A)의 저항률은 낮은 것이 바람직하고, 포커스링 가열용 부하(92)에 포함되는 외측 포커스링(36B)의 저항률은 높은 것이 바람직하다.
즉, 예컨대 외측 포커스링(36B)의 저항률이 낮으면 같은 전류를 흘려도 가열하기 어려워지기 때문이다. 이것은,
P(파워) = I (전류)2 x R(저항) 의 식에 따라 명백하다.
또한, 내측 포커스링(36A)의 저항률은, 예를 들면 2Ωcm이하, 외측 포커스링(36B)의 저항률은, 예를 들면 50Ωcm 이상이 바람직하다. 이에 따라, 외측 포커스링(36B)을 보다 선택적으로 가열할 수 있다.
10 챔버
12 서셉터(하부 전극)
16 접지 전위의 도전성 지지 부재
24 배기 장치
28 제 1 고주파 전원
30 제 2 고주파 전원
32A 제 1 정합기
32B 제 2 정합기
36 포커스 링
38 주 정전 척
40 직류 전원
43 온도 센서
44 주변 유전체
46 주변 정전 척
53 전열 가스 공급부
66 제어부
68 샤워헤드(상부 전극)
82 스위치
84 임피던스 부가 회로

Claims (28)

  1. 진공 배기 가능한 처리 용기와,
    상기 처리 용기 내에서 피처리 기판을 탑재하는 하부 전극과,
    상기 하부 전극의 상기 피처리 기판의 반경 방향 외측으로 비어져 나오는 주변 부분의 적어도 일부를 덮도록 상기 하부 전극에 부착되고, 상기 하부 전극의 반경 방향의 주위에 마련되어 있는 유전체를 거쳐서 접지 전위의 도전성 부재에 전기적으로 용량 결합되는 포커스 링과,
    상기 처리 용기 내에서 상기 하부 전극과 평행하게 대향하는 상부 전극과,
    상기 피처리 기판에 원하는 플라즈마 처리를 실시하기 위해 상기 상부 전극과 상기 하부 전극의 사이의 처리공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와,
    가스의 고주파 방전을 위한 주파수의 고주파를 출력하는 제 1 고주파 전원과,
    상기 제 1 고주파 전원과 상기 하부 전극의 사이에 전기적으로 접속되고, 상기 제 1 고주파 전원측의 임피던스와 부하측의 임피던스의 사이에서 정합을 취하기 위한 정합기와,
    상기 플라즈마 처리가 행해질 때에, 상기 처리 가스의 고주파 방전에 의한 플라즈마를 생성하기 위해, 상기 플라즈마를 포함하는 제 1 부하가 상기 제 1 고주파 전원에 대해 임피던스 정합하도록 상기 정합기를 동작시키고, 상기 제 1 고주파 전원으로부터의 고주파 전력을 상기 제 1 부하에 공급하는 플라즈마 생성용 고주파 급전부와,
    상기 플라즈마 처리에 앞서, 상기 포커스 링을 원하는 온도까지 발열시키기 위해, 상기 하부 전극으로부터 상기 포커스 링 및 상기 유전체를 거쳐서 상기 접지 전위의 도전성 부재에 이르는 고주파 전파로를 포함하는 제 2 부하가 상기 제 1 고주파 전원에 대해 임피던스 정합하도록 상기 정합기를 동작시키고, 상기 제 1 고주파 전원으로부터의 고주파 전력을 상기 제 2 부하에 공급하는 포커스 링 가열용 고주파 급전부
    를 갖는 플라즈마 처리 장치.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 제 1 고주파 전원에 대해, 상기 제 2 부하의 임피던스를 가변 조정하기 위한 임피던스 조정부를 더 갖는 플라즈마 처리 장치.
  4. 제 3 항에 있어서,
    상기 임피던스 조정부는 상기 유전체 중에 마련된 공동(空洞)과, 상기 공동 내에 용적 가변으로 수용되는 유동성의 유전체 물질을 갖는 플라즈마 처리 장치.
  5. 진공 배기 가능한 처리 용기와,
    상기 처리 용기 내에서 피처리 기판을 탑재하는 하부 전극과,
    상기 하부 전극의 상기 피처리 기판의 반경 방향 외측으로 비어져 나오는 주변 부분의 적어도 일부를 덮도록 상기 하부 전극에 부착되는 포커스 링과,
    상기 하부 전극의 반경 방향의 주위에 마련되고, 상기 포커스 링과 유전체를 거쳐서 전기적으로 용량 결합되는 그라운드 단자와,
    상기 그라운드 단자와 접지 전위의 부재의 사이에 전기적으로 접속되는 제 1 스위치와,
    상기 처리 용기 내에서 상기 하부 전극과 평행하게 대향하는 상부 전극과,
    상기 피처리 기판에 원하는 플라즈마 처리를 실시하기 위해 상기 상부 전극과 상기 하부 전극의 사이의 처리공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와,
    가스의 고주파 방전을 위한 주파수의 고주파를 출력하는 제 1 고주파 전원과,
    상기 제 1 고주파 전원과 상기 하부 전극의 사이에 전기적으로 접속되고, 상기 제 1 고주파 전원측의 임피던스와 부하측의 임피던스의 사이에서 정합을 취하기 위한 정합기와,
    상기 제 1 스위치 및 상기 정합기를 제어하는 제어부
    를 갖되,
    상기 플라즈마 처리가 행해질 때에, 상기 처리 가스의 고주파 방전에 의한 플라즈마를 생성하기 위해, 상기 제어부의 제어 하에서, 상기 제 1 스위치를 오프 상태로 유지함과 아울러, 상기 플라즈마를 포함하는 제 1 부하가 상기 제 1 고주파 전원에 대해 임피던스 정합하도록 상기 정합기를 동작시키고,
    상기 플라즈마 처리에 앞서, 상기 포커스 링을 원하는 온도까지 발열시킬 때는, 상기 제어부의 제어 하에서, 상기 제 1 스위치를 온 상태로 유지함과 아울러, 상기 하부 전극으로부터 상기 포커스 링, 상기 유전체, 상기 그라운드 단자 및 상기 제 1 스위치를 거쳐서 상기 접지 전위의 부재에 이르는 고주파 전파로를 포함하는 제 2 부하가 상기 제 1 고주파 전원에 대해 임피던스 정합하도록 상기 정합기를 동작시키는
    플라즈마 처리 장치.
  6. 진공 배기 가능한 처리 용기와,
    상기 처리 용기 내에서 피처리 기판을 탑재하는 하부 전극과,
    상기 하부 전극의 상기 피처리 기판의 반경 방향 외측으로 비어져 나오는 주변 부분의 적어도 일부를 덮도록, 상기 하부 전극에 부착되는 포커스 링과,
    상기 처리 용기 내에서 상기 하부 전극과 평행하게 대향하는 상부 전극과,
    상기 피처리 기판에 원하는 플라즈마 처리를 실시하기 위해, 상기 상부 전극과 상기 하부 전극의 사이의 처리공간에 원하는 처리 가스를 공급하는 처리 가스 공급부와,
    가스의 고주파 방전을 위한 주파수의 제 1 고주파를 출력하는 제 1 고주파 전원과,
    상기 제 1 고주파 전원과 상기 하부 전극의 사이에 전기적으로 접속된 정합기를 포함하고, 상기 처리공간에서 상기 처리 가스의 고주파 방전에 의한 플라즈마를 생성하기 위한 제 1 부하에 대해, 상기 제 1 고주파 전원으로부터의 상기 제 1 고주파를 임피던스 정합 상태에서 공급하는 플라즈마 생성용 고주파 급전부와,
    상기 정합기와, 일정한 임피던스를 갖는 임피던스 부가 회로와, 제 2 스위치를 포함하고, 상기 포커스 링을 원하는 온도까지 발열시키기 위한 제 2 부하에 대해, 상기 제 1 고주파 전원으로부터의 상기 제 1 고주파를 임피던스 정합 상태에서 공급하는 포커스 링 가열용 고주파 급전부
    를 갖되,
    상기 제 2 스위치는, 상기 제 1 고주파를 상기 포커스 링의 가열에 이용할 때는 온 상태로 되어 상기 임피던스 부가 회로를 상기 정합기에 직렬 또는 병렬로 접속하고, 상기 제 1 고주파를 상기 플라즈마의 생성에 이용할 때는 오프 상태로 되어 상기 임피던스 부가 회로를 상기 정합기로부터 전기적으로 분리하는
    플라즈마 처리 장치.
  7. 제 6 항에 있어서,
    상기 제 1 고주파를 상기 포커스 링의 가열에 이용할 때에 상기 정합기에서 얻어지는 매칭 포인트가, 상기 제 1 고주파를 상기 플라즈마의 생성에 이용할 때에 상기 정합기에서 얻어지는 매칭 포인트에 근접하도록, 상기 임피던스 부가 회로의 임피던스가 설정되는 플라즈마 처리 장치.
  8. 진공 배기 가능한 처리 용기와,
    상기 처리 용기 내에서 피처리 기판을 탑재하는 하부 전극과,
    상기 하부 전극의 상기 피처리 기판의 반경 방향 외측으로 비어져 나오는 주변 부분의 적어도 일부를 덮도록 상기 하부 전극에 부착되고, 상기 하부 전극의 반경 방향의 주위에 마련되어 있는 유전체를 거쳐서 접지 전위의 도전성 부재에 전기적으로 용량 결합되는 포커스 링과,
    상기 피처리 기판에 원하는 플라즈마 처리를 실시하기 위해 상기 처리 용기 내에 원하는 처리 가스를 공급하는 처리 가스 공급부와,
    가스의 방전을 위한 주파수의 제 1 고주파 또는 마이크로파를 이용하여 상기 처리 용기 내에서 상기 처리 가스를 방전시켜 플라즈마를 생성하는 플라즈마 생성부와,
    플라즈마로부터 상기 피처리 기판에의 이온의 인입을 위한 주파수의 제 2 고주파를 출력하는 제 2 고주파 전원과,
    상기 제 2 고주파 전원과 상기 하부 전극의 사이에 전기적으로 접속되고, 상기 제 2 고주파 전원측의 임피던스와 부하측의 임피던스의 사이에서 정합을 취하기 위한 정합기와,
    상기 플라즈마 처리가 행해질 때에, 플라즈마로부터 상기 피처리 기판에의 이온의 인입을 제어하기 위해, 상기 플라즈마를 포함하는 제 1 부하가 상기 제 2 고주파 전원에 대해 임피던스 정합하도록 상기 정합기를 동작시키고, 상기 제 2 고주파 전원으로부터의 상기 제 2 고주파의 전력을 상기 제 1 부하에 공급하는 이온 인입용 고주파 급전부와,
    상기 플라즈마 처리에 앞서, 상기 포커스 링을 원하는 온도까지 발열시키기 위해, 상기 하부 전극으로부터 상기 포커스 링 및 상기 유전체를 거쳐서 상기 접지 전위의 도전성 부재에 이르는 고주파 전파로를 포함하는 제 2 부하가 상기 제 2 고주파 전원에 대해 임피던스 정합하도록 상기 정합기를 동작시키고, 상기 제 2 고주파 전원으로부터의 상기 제 2 고주파의 전력을 상기 제 2 부하에 공급하는 포커스 링 가열용 고주파 급전부
    를 갖는 플라즈마 처리 장치.
  9. 삭제
  10. 제 8 항에 있어서,
    상기 제 2 고주파 전원에 대해, 상기 제 2 부하의 임피던스를 가변 조정하기 위한 임피던스 조정부를 더 갖는 플라즈마 처리 장치.
  11. 제 10 항에 있어서,
    상기 임피던스 조정부는 상기 유전체 중에 마련된 공동과, 상기 공동 내에 용적 가변으로 수용되는 유동성의 유전체 물질을 갖는 플라즈마 처리 장치.
  12. 진공 배기 가능한 처리 용기와,
    상기 처리 용기 내에서 피처리 기판을 탑재하는 하부 전극과,
    상기 하부 전극의 상기 피처리 기판의 반경 방향 외측으로 비어져 나오는 주변 부분의 적어도 일부를 덮도록 상기 하부 전극에 부착되는 포커스 링과,
    상기 하부 전극의 반경 방향의 주위에 마련되고, 상기 포커스 링과 유전체를 거쳐서 전기적으로 결합되는 그라운드 단자와,
    상기 그라운드 단자와 접지 전위의 부재의 사이에 전기적으로 접속되는 제 1 스위치와,
    상기 피처리 기판에 원하는 플라즈마 처리를 실시하기 위해 상기 처리 용기 내에 원하는 처리 가스를 공급하는 처리 가스 공급부와,
    가스의 고주파 방전을 위한 주파수의 제 1 고주파 또는 마이크로파를 이용하여 상기 처리 용기 내에서 상기 처리 가스를 방전시켜 플라즈마를 생성하는 플라즈마 생성부와,
    플라즈마로부터 상기 피처리 기판에의 이온의 인입의 제어를 위한 주파수의 제 2 고주파를 출력하는 제 2 고주파 전원과,
    상기 제 2 고주파 전원과 상기 하부 전극의 사이에 전기적으로 접속되고, 상기 제 2 고주파 전원측의 임피던스와 부하측의 임피던스의 사이에서 정합을 취하기 위한 정합기와,
    상기 제 1 스위치 및 상기 정합기를 제어하는 제어부
    를 갖되,
    상기 플라즈마 처리가 행해질 때에, 플라즈마로부터 상기 피처리 기판에의 이온의 인입을 제어하기 위해, 상기 제어부의 제어 하에서, 상기 제 1 스위치를 오프 상태로 유지함과 아울러, 상기 플라즈마를 포함하는 제 1 부하가 상기 제 2 고주파 전원에 대해 임피던스 정합하도록 상기 정합기를 동작시키고,
    상기 플라즈마 처리에 앞서, 상기 포커스 링을 원하는 온도까지 발열시킬 때는, 상기 제어부의 제어 하에서, 상기 제 1 스위치를 온 상태로 유지함과 아울러, 상기 하부 전극으로부터 상기 포커스 링, 상기 유전체, 상기 그라운드 단자 및 상기 제 1 스위치를 거쳐서 상기 접지 전위의 부재에 이르는 고주파 전파로를 포함하는 제 2 부하가 제 2 고주파 전원에 대해 임피던스 정합하도록 상기 정합기를 동작시키는
    플라즈마 처리 장치.
  13. 진공 배기 가능한 처리 용기와,
    상기 처리 용기 내에서 피처리 기판을 탑재하는 하부 전극과,
    상기 하부 전극의 상기 피처리 기판의 반경 방향 외측으로 비어져 나오는 주변 부분의 적어도 일부를 덮도록, 상기 하부 전극에 부착되는 포커스 링과,
    상기 피처리 기판에 원하는 플라즈마 처리를 실시하기 위해 상기 처리 용기 내에 원하는 처리 가스를 공급하는 처리 가스 공급부와,
    가스의 방전을 위한 주파수의 제 1 고주파 또는 마이크로파를 이용하여 상기 처리 용기 내에서 상기 처리 가스를 방전시켜 플라즈마를 생성하는 플라즈마 생성부와,
    플라즈마로부터 상기 피처리 기판에의 이온의 인입을 위한 주파수의 제 2 고주파를 출력하는 제 2 고주파 전원과,
    상기 제 2 고주파 전원과 상기 하부 전극의 사이에 전기적으로 접속된 정합기를 포함하고, 상기 플라즈마로부터 상기 피처리 기판에의 이온의 인입을 제어하기 위한 제 1 부하에 대해, 상기 제 2 고주파 전원으로부터의 상기 제 2 고주파를 임피던스 정합 상태에서 공급하는 이온 인입용 고주파 급전부와,
    상기 정합기와, 일정한 임피던스를 갖는 임피던스 부가 회로와, 제 2 스위치를 포함하고, 상기 포커스 링을 원하는 온도까지 발열시키기 위한 제 2 부하에 대해, 상기 제 2 고주파 전원으로부터의 상기 제 2 고주파를 임피던스 정합 상태에서 공급하는 포커스 링 가열용 고주파 급전부
    를 갖되,
    상기 제 2 스위치는, 상기 제 2 고주파를 상기 포커스 링의 가열에 이용할 때는 온 상태로 되어 상기 임피던스 부가 회로를 상기 정합기에 직렬 또는 병렬로 접속하고, 상기 제 2 고주파를 이온의 인입에 이용할 때는 오프 상태로 되어 상기 임피던스 부가 회로를 상기 정합기로부터 전기적으로 분리하는
    플라즈마 처리 장치.
  14. 제 13 항에 있어서,
    상기 제 2 고주파를 상기 포커스 링의 가열에 이용할 때에 상기 정합기에서 얻어지는 매칭 포인트가, 상기 제 2 고주파를 이온의 인입에 이용할 때에 상기 정합기에서 얻어지는 매칭 포인트에 근접하도록, 상기 임피던스 부가 회로의 임피던스가 설정되는 플라즈마 처리 장치.
  15. 제 1, 3, 4, 5, 6, 7, 8, 10, 11, 12, 13, 14 항 중 어느 한 항에 있어서,
    상기 포커스 링은 Si, SiC 또는 C로 이루어지는 플라즈마 처리 장치.
  16. 제 1, 3, 4, 5, 6, 7, 8, 10, 11, 12, 13, 14 항 중 어느 한 항에 있어서,
    상기 포커스 링의 온도를 검출하기 위한 온도 센서와,
    상기 포커스 링의 온도를 제어하기 위해, 상기 온도 센서의 출력 신호를 피드백하여, 상기 포커스 링의 가열에 이용되는 고주파의 파워를 제어하는 온도 제어부를 갖는 플라즈마 처리 장치.
  17. 제 1, 3, 4, 5, 6, 7, 8, 10, 11, 12, 13, 14 항 중 어느 한 항에 있어서,
    상기 하부 전극을 냉각하기 위한 냉각부와,
    상기 하부 전극에 대해 상기 포커스 링을 원하는 때 또는 기간 동안 열적으로 결합시키는 열적 결합 제어부를 갖는 플라즈마 처리 장치.
  18. 제 17 항에 있어서,
    상기 열적 결합 제어부는
    상기 포커스 링을 정전력으로 흡착하기 위해, 상기 하부 전극의 상면에 마련되는 정전 척과,
    상기 하부 전극 및 상기 정전 척에 각각 형성된 관통 구멍을 거쳐서 상기 정전 척과 상기 포커스 링의 계면에 전열 가스를 공급하는 전열 가스 공급부를 갖는 플라즈마 처리 장치.
  19. 제 17 항에 있어서,
    상기 포커스 링의 온도를 검출하기 위한 온도 센서와,
    상기 포커스 링의 온도를 제어하기 위해, 상기 온도 센서의 출력 신호를 피드백하여, 상기 포커스 링의 가열에 이용되는 고주파의 파워 및 상기 하부 전극의 온도 중 적어도 하나를 제어하는 온도 제어부를 갖는 플라즈마 처리 장치.
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
KR1020100027566A 2009-03-27 2010-03-26 플라즈마 처리 장치 KR101676875B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009079600 2009-03-27
JPJP-P-2009-079600 2009-03-27

Publications (2)

Publication Number Publication Date
KR20100108303A KR20100108303A (ko) 2010-10-06
KR101676875B1 true KR101676875B1 (ko) 2016-11-29

Family

ID=42772122

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100027566A KR101676875B1 (ko) 2009-03-27 2010-03-26 플라즈마 처리 장치

Country Status (5)

Country Link
US (1) US8894806B2 (ko)
JP (1) JP5657262B2 (ko)
KR (1) KR101676875B1 (ko)
CN (2) CN101847558B (ko)
TW (1) TWI584699B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180074013A (ko) * 2016-12-23 2018-07-03 삼성전자주식회사 플라즈마 처리 장치
KR20190141260A (ko) * 2017-05-12 2019-12-23 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 온도-튜닝된 기판 지지부
KR20230007643A (ko) * 2021-07-06 2023-01-13 (주)아이씨디 플라즈마 처리 장치
KR20230092364A (ko) 2021-12-17 2023-06-26 세메스 주식회사 기판 처리 장치 및 방법

Families Citing this family (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101141488B1 (ko) * 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
US8454027B2 (en) * 2008-09-26 2013-06-04 Lam Research Corporation Adjustable thermal contact between an electrostatic chuck and a hot edge ring by clocking a coupling ring
JP5203986B2 (ja) * 2009-01-19 2013-06-05 東京エレクトロン株式会社 フォーカスリングの加熱方法、プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
KR101174816B1 (ko) * 2009-12-30 2012-08-17 주식회사 탑 엔지니어링 플라즈마 처리 장치의 포커스 링 및 이를 구비한 플라즈마 처리 장치
US20120088371A1 (en) * 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
JP5719599B2 (ja) * 2011-01-07 2015-05-20 東京エレクトロン株式会社 基板処理装置
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5732941B2 (ja) * 2011-03-16 2015-06-10 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
JP5741124B2 (ja) * 2011-03-29 2015-07-01 東京エレクトロン株式会社 プラズマ処理装置
CN102800547B (zh) * 2011-05-27 2015-07-08 中微半导体设备(上海)有限公司 可调制的聚焦环和利用该聚焦环调节等离子处理器的方法
KR101951369B1 (ko) * 2011-09-01 2019-02-25 세메스 주식회사 정전 척 및 이를 포함하는 기판 처리 장치
CN102367065B (zh) * 2011-10-20 2013-12-04 宁波江丰电子材料有限公司 开口聚焦环的真空包装方法
US9947559B2 (en) 2011-10-28 2018-04-17 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
CN102534622B (zh) * 2012-03-20 2014-01-08 常州比太科技有限公司 在晶硅太阳能电池片表面制绒的方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6084417B2 (ja) * 2012-09-28 2017-02-22 株式会社ダイヘン インピーダンス調整装置
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US20140116622A1 (en) * 2012-10-31 2014-05-01 Semes Co. Ltd. Electrostatic chuck and substrate processing apparatus
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN103169199A (zh) * 2013-03-15 2013-06-26 苏州卫鹏机电科技有限公司 一种鞋材表面等离子体放电处理设备的真空箱
JP6037914B2 (ja) * 2013-03-29 2016-12-07 富士フイルム株式会社 保護膜のエッチング方法およびテンプレートの製造方法
JP6574547B2 (ja) * 2013-12-12 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6573325B2 (ja) 2013-12-17 2019-09-11 東京エレクトロン株式会社 プラズマ密度を制御するシステムおよび方法
KR101582563B1 (ko) * 2014-01-29 2016-01-07 세메스 주식회사 가열유닛, 기판처리장치, 그리고 기판처리설비
CN104934345B (zh) * 2014-03-21 2018-05-08 北京北方华创微电子装备有限公司 一种等离子体装置
JP6244518B2 (ja) * 2014-04-09 2017-12-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR101600265B1 (ko) * 2014-09-01 2016-03-08 엘지디스플레이 주식회사 화학기상증착장치
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
EP3034461B1 (fr) 2014-12-19 2020-07-01 Rolex Sa Fabrication d'un composant horloger multi-niveaux
JP6346855B2 (ja) * 2014-12-25 2018-06-20 東京エレクトロン株式会社 静電吸着方法及び基板処理装置
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6555656B2 (ja) * 2015-02-17 2019-08-07 パナソニックIpマネジメント株式会社 プラズマ処理装置および電子部品の製造方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6539113B2 (ja) 2015-05-28 2019-07-03 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR102307737B1 (ko) * 2015-06-11 2021-10-01 도쿄엘렉트론가부시키가이샤 정전 용량 측정용의 센서 칩 및 센서 칩을 구비한 측정기
JP6512954B2 (ja) * 2015-06-11 2019-05-15 東京エレクトロン株式会社 フォーカスリングを検査するためのシステム、及びフォーカスリングを検査する方法
GB201511282D0 (en) * 2015-06-26 2015-08-12 Spts Technologies Ltd Plasma etching apparatus
US20170002465A1 (en) 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6212092B2 (ja) * 2015-10-02 2017-10-11 東京エレクトロン株式会社 基板処理システム、フォーカスリングの温度制御方法及び基板のエッチング方法
US10192763B2 (en) * 2015-10-05 2019-01-29 Applied Materials, Inc. Methodology for chamber performance matching for semiconductor equipment
US10985078B2 (en) * 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
JP6595335B2 (ja) * 2015-12-28 2019-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP3210105U (ja) * 2016-03-04 2017-04-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ユニバーサルプロセスキット
KR101800321B1 (ko) * 2016-04-18 2017-11-22 최상준 건식 에칭장치
KR101798373B1 (ko) * 2016-05-03 2017-11-17 (주)브이앤아이솔루션 유도결합 플라즈마 처리장치의 유전체창 지지구조
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9852889B1 (en) * 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
KR101909479B1 (ko) * 2016-10-06 2018-10-19 세메스 주식회사 기판 지지 유닛, 그를 포함하는 기판 처리 장치, 그리고 그 제어 방법
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP6688763B2 (ja) * 2017-05-30 2020-04-28 東京エレクトロン株式会社 プラズマ処理方法
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
JP6861579B2 (ja) * 2017-06-02 2021-04-21 東京エレクトロン株式会社 プラズマ処理装置、静電吸着方法および静電吸着プログラム
JP6797079B2 (ja) * 2017-06-06 2020-12-09 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107610999A (zh) * 2017-08-28 2018-01-19 北京北方华创微电子装备有限公司 下电极机构及反应腔室
JP6974088B2 (ja) * 2017-09-15 2021-12-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
WO2019087977A1 (ja) * 2017-10-30 2019-05-09 日本碍子株式会社 静電チャック及びその製法
KR101980203B1 (ko) * 2017-10-30 2019-05-21 세메스 주식회사 지지 유닛 및 그를 포함하는 기판 처리 장치
KR102063108B1 (ko) 2017-10-30 2020-01-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
TWI829367B (zh) * 2017-11-16 2024-01-11 日商東京威力科創股份有限公司 電漿處理裝置、溫度控制方法及溫度控制程式
JP7033441B2 (ja) * 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636178B1 (ko) 2018-02-16 2024-02-14 니혼도꾸슈도교 가부시키가이샤 유지 장치
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN110323117B (zh) 2018-03-28 2024-06-21 三星电子株式会社 等离子体处理设备
KR101995760B1 (ko) * 2018-04-02 2019-07-03 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
JP2019186098A (ja) * 2018-04-12 2019-10-24 東京エレクトロン株式会社 プラズマを生成する方法
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN110416049B (zh) * 2018-04-28 2022-02-11 中微半导体设备(上海)股份有限公司 可调节边缘射频等离子体分布的ccp刻蚀装置及其方法
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP2018164092A (ja) * 2018-05-28 2018-10-18 東京エレクトロン株式会社 静電吸着方法、プラズマ処理方法及びプラズマ処理装置
JP6846384B2 (ja) * 2018-06-12 2021-03-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
CN111095502B (zh) * 2018-06-22 2024-04-05 东京毅力科创株式会社 等离子体处理装置和等离子体蚀刻方法
CN113345788B (zh) * 2018-06-22 2024-06-21 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和存储介质
JP7175239B2 (ja) * 2018-06-22 2022-11-18 東京エレクトロン株式会社 制御方法、プラズマ処理装置、プログラム及び記憶媒体
JP7250449B2 (ja) * 2018-07-04 2023-04-03 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
JP7089977B2 (ja) * 2018-08-02 2022-06-23 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR20210066829A (ko) * 2018-10-24 2021-06-07 어플라이드 머티어리얼스, 인코포레이티드 증착 챔버에 대한 기판 지지부 설계들
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7138550B2 (ja) * 2018-11-29 2022-09-16 東京エレクトロン株式会社 基板処理装置
WO2020117371A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. Ground electrode formed in an electrostatic chuck for a plasma processing chamber
JP7250663B2 (ja) * 2018-12-19 2023-04-03 東京エレクトロン株式会社 プラズマ処理装置及びインピーダンスの整合方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11955314B2 (en) * 2019-01-09 2024-04-09 Tokyo Electron Limited Plasma processing apparatus
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210104696A (ko) 2019-01-15 2021-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 페디스털
US11393663B2 (en) * 2019-02-25 2022-07-19 Tokyo Electron Limited Methods and systems for focus ring thickness determinations and feedback control
JP7202581B2 (ja) * 2019-04-02 2023-01-12 株式会社島津製作所 イオン分析装置
KR20220010559A (ko) * 2019-05-24 2022-01-25 어플라이드 머티어리얼스, 인코포레이티드 열 처리하기 위한 장치, 기판 프로세싱 시스템, 및 기판을 프로세싱하기 위한 방법
KR102214333B1 (ko) * 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102290910B1 (ko) * 2019-06-27 2021-08-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11107661B2 (en) * 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
KR102325223B1 (ko) * 2019-07-22 2021-11-10 세메스 주식회사 기판 처리 장치
US11894255B2 (en) * 2019-07-30 2024-02-06 Applied Materials, Inc. Sheath and temperature control of process kit
CN112435912B (zh) * 2019-08-26 2023-09-29 中微半导体设备(上海)股份有限公司 等离子体处理装置
JP7362400B2 (ja) * 2019-10-01 2023-10-17 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP7361002B2 (ja) * 2019-10-02 2023-10-13 東京エレクトロン株式会社 プラズマ処理装置
JP6781320B2 (ja) * 2019-10-24 2020-11-04 東京エレクトロン株式会社 静電吸着方法、プラズマ処理方法及びプラズマ処理装置
JP7361588B2 (ja) 2019-12-16 2023-10-16 東京エレクトロン株式会社 エッジリング及び基板処理装置
JP7365912B2 (ja) 2020-01-10 2023-10-20 東京エレクトロン株式会社 エッジリング及び基板処理装置
JP7437965B2 (ja) * 2020-02-21 2024-02-26 東京エレクトロン株式会社 プラズマ処理装置及び部材温度判定方法
JP7390219B2 (ja) * 2020-03-11 2023-12-01 東京エレクトロン株式会社 エッジリングの保持方法、プラズマ処理装置、及び基板処理システム
CN111334799B (zh) * 2020-03-12 2022-04-01 Tcl华星光电技术有限公司 蚀刻装置及蚀刻方法
JP2022078710A (ja) 2020-11-13 2022-05-25 東京エレクトロン株式会社 エッジリングおよび基板処理装置
US11784028B2 (en) * 2020-12-24 2023-10-10 Applied Materials, Inc. Performing radio frequency matching control using a model-based digital twin
US20220293397A1 (en) * 2021-03-10 2022-09-15 Applied Materials, Inc. Substrate edge ring that extends process environment beyond substrate diameter
CN115249606A (zh) * 2021-04-28 2022-10-28 中微半导体设备(上海)股份有限公司 等离子体处理装置、下电极组件及其形成方法
US20230132307A1 (en) * 2021-10-26 2023-04-27 Applied Materials, Inc. Chuck For Processing Semiconductor Workpieces At High Temperatures
US20230402255A1 (en) * 2022-06-09 2023-12-14 Tokyo Electron Limited Equipment and Method for Improved Edge Uniformity of Plasma Processing of Wafers
CN117954370B (zh) * 2024-03-27 2024-06-25 上海谙邦半导体设备有限公司 一种静电吸盘控制方法、静电吸盘及半导体加工设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005277369A (ja) * 2003-09-05 2005-10-06 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US20060066247A1 (en) * 2004-06-21 2006-03-30 Tokyo Electron Limited Plasma processing apparatus and method
KR100572415B1 (ko) * 1998-07-16 2006-04-18 동경 엘렉트론 에이티 주식회사 플라즈마 처리 장치
JP2008244274A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP3236533B2 (ja) * 1997-07-02 2001-12-10 松下電器産業株式会社 静電吸着電極装置
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
TW506234B (en) * 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
CN101303998B (zh) * 2003-04-24 2011-02-02 东京毅力科创株式会社 等离子体处理装置、聚焦环和基座
JP4421874B2 (ja) * 2003-10-31 2010-02-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8061299B2 (en) * 2004-02-17 2011-11-22 Engle George M Formation of photoconductive and photovoltaic films
JP2005353812A (ja) * 2004-06-10 2005-12-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US7172969B2 (en) 2004-08-26 2007-02-06 Tokyo Electron Limited Method and system for etching a film stack
JP4566789B2 (ja) * 2005-03-07 2010-10-20 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US8038837B2 (en) * 2005-09-02 2011-10-18 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8941037B2 (en) * 2006-12-25 2015-01-27 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
JP4695606B2 (ja) * 2007-01-09 2011-06-08 東京エレクトロン株式会社 被処理基板の載置装置におけるフォーカスリングの熱伝導改善方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100572415B1 (ko) * 1998-07-16 2006-04-18 동경 엘렉트론 에이티 주식회사 플라즈마 처리 장치
JP2005277369A (ja) * 2003-09-05 2005-10-06 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US20060066247A1 (en) * 2004-06-21 2006-03-30 Tokyo Electron Limited Plasma processing apparatus and method
JP2008244274A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180074013A (ko) * 2016-12-23 2018-07-03 삼성전자주식회사 플라즈마 처리 장치
KR102581226B1 (ko) * 2016-12-23 2023-09-20 삼성전자주식회사 플라즈마 처리 장치
KR20190141260A (ko) * 2017-05-12 2019-12-23 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 온도-튜닝된 기판 지지부
KR102655379B1 (ko) 2017-05-12 2024-04-04 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 온도-튜닝된 기판 지지부
KR20230007643A (ko) * 2021-07-06 2023-01-13 (주)아이씨디 플라즈마 처리 장치
KR102572318B1 (ko) * 2021-07-06 2023-08-29 (주)아이씨디 플라즈마 처리 장치
KR20230092364A (ko) 2021-12-17 2023-06-26 세메스 주식회사 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
US8894806B2 (en) 2014-11-25
JP5657262B2 (ja) 2015-01-21
CN102522304A (zh) 2012-06-27
CN101847558A (zh) 2010-09-29
TW201119524A (en) 2011-06-01
CN101847558B (zh) 2012-02-29
US20100243606A1 (en) 2010-09-30
JP2010251723A (ja) 2010-11-04
KR20100108303A (ko) 2010-10-06
CN102522304B (zh) 2014-12-17
TWI584699B (zh) 2017-05-21

Similar Documents

Publication Publication Date Title
KR101676875B1 (ko) 플라즈마 처리 장치
US10340123B2 (en) Multi-frequency power modulation for etching high aspect ratio features
TWI622081B (zh) 電漿處理裝置及電漿處理方法
JP4482308B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP3220394B2 (ja) プラズマ処理装置
US6214162B1 (en) Plasma processing apparatus
KR102036950B1 (ko) 플라즈마 처리 방법
JP4584565B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR102252016B1 (ko) 플라즈마 처리 장치
TWI651753B (zh) 用以蝕刻高深寬比特徵部之功率調變的方法
US11257660B2 (en) Apparatus and method for treating substrate
KR20160071321A (ko) 플라즈마 에칭 방법
JP2000331996A (ja) プラズマ処理装置
KR102498944B1 (ko) 유기 재료들의 자가 제한 에칭을 수행하기 위한 프로세스
KR100855880B1 (ko) 기판 처리 장치 및 플라즈마 밀도의 제어 방법
JP2004273974A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant