TWI651753B - 用以蝕刻高深寬比特徵部之功率調變的方法 - Google Patents

用以蝕刻高深寬比特徵部之功率調變的方法 Download PDF

Info

Publication number
TWI651753B
TWI651753B TW106102020A TW106102020A TWI651753B TW I651753 B TWI651753 B TW I651753B TW 106102020 A TW106102020 A TW 106102020A TW 106102020 A TW106102020 A TW 106102020A TW I651753 B TWI651753 B TW I651753B
Authority
TW
Taiwan
Prior art keywords
power
power level
substrate
item
modulation
Prior art date
Application number
TW106102020A
Other languages
English (en)
Other versions
TW201737294A (zh
Inventor
大竹浩人
森琢哉
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201737294A publication Critical patent/TW201737294A/zh
Application granted granted Critical
Publication of TWI651753B publication Critical patent/TWI651753B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32311Circuits specially adapted for controlling the microwave discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/10Ion sources; Ion guns
    • H01J49/105Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本揭露內容描述基板的蝕刻方法。該方法包含:在電漿處理系統的處理空間中設置基板,該基板具有露出第一材料及第二材料的表面;及執行調變電漿蝕刻製程,以選擇性地以大於移除第二材料的速率移除第一材料。調變電漿蝕刻製程包含具有序列式功率施加步驟的功率調變循環,該功率調變循環包含:在第一功率位準施加射頻(RF,radio frequency)訊號至電漿處理系統、在第二功率位準施加RF訊號至電漿處理系統、及在第三功率位準施加RF訊號至電漿處理系統。此後,重複該功率調變循環至少再一個循環,其中每一調變循環包含調變時間段。

Description

用以蝕刻高深寬比特徵部之功率調變的方法
本發明相關於使用電漿相對於基板上一材料選擇性蝕刻該基板上另一材料的方法。
[相關申請案之交互參考]
本申請案相關於2016年1月20日申請之美國臨時專利申請案第62/281,106號,並主張其優先權,該美國臨時專利申請案第62/281,106號的整體內容係併入以此,以供參考。
半導體裝置的生產中,在成本及性能方面保持競爭力的需求已導致積體電路之裝置密度的持續增加。為達成半導體積體電路中更高程度的整合及小型化,亦必須達成半導體晶圓上所形成之電路圖案的小型化。
電漿蝕刻為用以藉由將幾何形狀及圖案從微影遮罩轉移至半導體晶圓的下方層,來製造半導體集體電路的標準技術。在增加深寬比及較複雜材料的情況下,符合選擇性及輪廓控制需求之最先進蝕刻製程的需要正變得日益關鍵。
本發明之實施例相關於使用電漿相對於基板上一材料選擇性蝕刻該基板上另一材料的方法。
根據一實施例描述基板的蝕刻方法。該方法包含:在電漿處理系統的處理空間中設置一基板,該基板具有露出第一材料及第二材料的表面;以及 執行調變電漿蝕刻製程,以選擇性地以大於移除第二材料的速率移除第一材料。調變電漿蝕刻製程包含具有序列式功率施加步驟的功率調變循環,該功率調變循環包含:在第一功率位準施加射頻(RF,radio frequency)訊號至電漿處理系統、在第二功率位準施加RF訊號至電漿處理系統、以及在第三功率位準施加RF訊號至電漿處理系統。此後,重複該功率調變循環至少再一個循環,其中每一調變循環包含調變時間段。
110‧‧‧基板
120‧‧‧覆層
130‧‧‧覆層
140‧‧‧覆層
150‧‧‧特徵部圖案
200‧‧‧流程圖
212‧‧‧步驟
214‧‧‧步驟
300‧‧‧調變電漿蝕刻製程
310‧‧‧調變循環
312‧‧‧功率位準
314‧‧‧功率位準
316‧‧‧功率位準
322‧‧‧範圍
324‧‧‧範圍
326‧‧‧範圍
401‧‧‧處理腔室
402‧‧‧接地線
412‧‧‧托座
414‧‧‧支撐單元
416‧‧‧傳導支撐單元
424‧‧‧功率饋送桿
427‧‧‧閘閥
428‧‧‧阻抗匹配單元
429‧‧‧電源
430‧‧‧氣體排出單元
431‧‧‧氣體排出線路
432‧‧‧排出埠
433‧‧‧排出路徑
434‧‧‧擋板
435‧‧‧夾持電極
436‧‧‧靜電卡盤
437‧‧‧裝載/卸載埠
438‧‧‧聚焦環
439‧‧‧電源
442‧‧‧冷卻套板
443‧‧‧流動路徑
444‧‧‧冷卻劑流動路徑
445‧‧‧氣體供應線路
446‧‧‧導管
448‧‧‧導管
450‧‧‧控制單元
451‧‧‧使用者介面
452‧‧‧儲存單元
453‧‧‧氣體噴射埠
454‧‧‧槽孔板
455‧‧‧槽孔天線
456‧‧‧介電板
457‧‧‧介電窗
458‧‧‧微波傳輸線
459‧‧‧側壁氣體噴射
460‧‧‧微波產生器
462‧‧‧波導
464‧‧‧波導共軸線型轉換器
466‧‧‧共軸線路
468‧‧‧內導體
469‧‧‧外導體
479‧‧‧連接器單元
480‧‧‧製程氣體供應系統
481‧‧‧上氣體引入部
483‧‧‧質流控制器
484‧‧‧第一氣體供應線路
485‧‧‧第二氣體供應線路
486‧‧‧質流控制器
487‧‧‧側氣體引入部
488‧‧‧氣體流動路徑
489‧‧‧緩衝室
501‧‧‧處理腔室
502‧‧‧接地線
506‧‧‧絕緣體
512‧‧‧托座
515‧‧‧托座支撐件
517‧‧‧絕緣板
519‧‧‧內壁構件
520‧‧‧下電極
528‧‧‧阻抗匹配單元
529‧‧‧電源
530‧‧‧氣體排出單元
531‧‧‧排出線路
532‧‧‧排出埠
533‧‧‧排出路徑
535‧‧‧夾持電極
536‧‧‧靜電卡盤
537‧‧‧裝載/卸載埠
538‧‧‧聚焦環
539‧‧‧DC電源
544‧‧‧冷卻劑流動路徑
545‧‧‧氣體供應線路
550‧‧‧控制單元
551‧‧‧使用者介面
552‧‧‧儲存單元
560‧‧‧高頻電源
561‧‧‧上部功率饋送桿
562‧‧‧可變電容器
563‧‧‧功率饋送桿
564‧‧‧絕緣構件
565‧‧‧功率饋送器
566‧‧‧匹配單元
567‧‧‧接地導體
570‧‧‧上電極
571‧‧‧內部上電極
572‧‧‧外部上電極
575‧‧‧電極板
576‧‧‧介電體
578‧‧‧電極支撐件
580‧‧‧製程氣體供應系統
582‧‧‧氣體噴射開口
584‧‧‧氣體供應線路
589‧‧‧緩衝室
591‧‧‧LPF
592‧‧‧HPF
601‧‧‧處理腔室
602‧‧‧接地線
603‧‧‧天線腔室
604‧‧‧側壁
605‧‧‧支撐架
606‧‧‧絕緣體
607‧‧‧側壁
609‧‧‧支撐構件
612‧‧‧托座
613‧‧‧隔離框架
625‧‧‧支撐件
626‧‧‧伸縮囊
627‧‧‧閘閥
628‧‧‧阻抗匹配單元
629‧‧‧電源
630‧‧‧氣體排出單元
631‧‧‧氣體排出線路
635‧‧‧夾持電極
636‧‧‧靜電卡盤
637‧‧‧裝載/卸載埠
639‧‧‧DC電源
645‧‧‧氣體供應線路
650‧‧‧控制單元
651‧‧‧使用者介面
652‧‧‧儲存單元
655‧‧‧窗部
660‧‧‧高頻電源
661‧‧‧功率饋送構件
662‧‧‧高頻天線
664‧‧‧功率饋送部
665‧‧‧功率饋送部
666‧‧‧匹配單元
667‧‧‧間隔件
668‧‧‧電容器
680‧‧‧製程氣體供應系統
682‧‧‧氣體噴射開口
683‧‧‧氣體通道
684‧‧‧氣體供應線路
PS‧‧‧處理空間
W‧‧‧基板
在隨附圖式中:圖1A及1B描述基板上圖案化順序的示意圖;圖2根據一實施例提供描述基板之蝕刻方法的流程圖;圖3根據一實施例描繪功率調變循環;圖4根據一實施例顯示電漿處理系統的示意圖;圖5根據另一實施例顯示電漿處理系統的示意圖;以及圖6根據又另一實施例顯示電漿處理系統的示意圖。
在以下描述內容中,針對解釋且非限制之目的,提出特定的細節,例如,處理系統的特定幾何結構、本文中所使用之諸多元件及製程的描述內容。然而,應理解,本發明可在脫離該等特定細節的其他實施例中實施。
類似地,針對解釋的目的,提出特定的數目、材料、及配置,以提供對本發明的透徹理解。然而,本發明可在沒有該等特定細節的情況下實施。再者,應理解,圖示中所顯示的諸多實施例係說明性的代表,且不必依比例繪製。
諸多操作步驟將以最有助於理解本發明的方式依序描述為複數的分離操作步驟。然而,描述內容的順序不應被解讀為暗示該等操作步驟必須順序相依。特別地,該等操作步驟無需以所呈現之順序執行。所描述之操作步驟可按 照與所述實施例不同的順序執行。在額外的實施例中,可執行諸多額外的操作步驟、且/或可省略所描述的操作步驟。
如本文中所使用之「基板」通常是指根據本發明正受處理的物體。基板可包含裝置(尤其是半導體或其他電子裝置)的任何材料部位或結構,且可例如為基礎基板結構(例如,半導體晶圓)、或為在基礎基板結構上或覆蓋於基礎基板結構上的覆層(如薄膜)。基板可為習知的矽基板、或包含半傳導材料層的其他主體基板。如本文中所使用,詞彙「主體基板」不僅意指並包含矽晶圓,也意指並包含矽絕緣體(「SOI」,silicon-on-insulator)基板(例如,矽藍寶石(「SOS」,silicon-on-sapphire)基板、及矽玻璃(「SOG」,silicon-on-glass)基板)、基礎半導體基底上的矽磊晶層、以及其他半導體或光電材料(例如,矽-鍺、鍺、砷化鎵、氮化鎵、及磷化銦)。基板可為摻雜的或非摻雜的。因此,基板不意圖受限於任何特定的基礎結構、下方層或上方層、圖案化或未圖案化,反而係考慮成包含任何如此的覆層或基礎結構、以及覆層及/或基礎結構的任何組合。以下描述內容可參考特定類型的基板,但其僅係針對說明目的,而非限制。
在圖案蝕刻期間,可利用乾式電漿蝕刻製程,其中將電磁(EM,electro-magnetic)能量(例如,射頻(RF)功率)耦合於製程氣體,以加熱電子並導致製程氣體之原子及/或分子成分之後續的離子化及解離,藉此從製程氣體形成電漿。再者,電磁能量的耦合可用以控制入射於曝露基板表面上之帶電物種的能量位準。根據本文中所述的實施例,透過諸多電漿特性(包含帶電物種密度、帶電物種通量、帶電物種能量、化學通量等)的控制,可達成電漿蝕刻製程期望的最終結果。特別地,提供用以達成目標蝕刻選擇性及輪廓控制的實施例。
如以上所述,使用調變電漿蝕刻相對於彼此選擇性地移除通常用於半導體裝置製造中的材料。現在參考圖式,其中類似的參考數字指定若干圖式範圍內之相同或對應的部件,圖1A、1B、及2根據一實施例,描述微電子工件 上材料的蝕刻方法。該方法係繪示於圖1A及1B中,且藉由圖2中流程200的方式呈現。如圖2中所呈現,流程200在步驟212開始於在電漿處理系統的處理空間中設置基板110,其具有露出第一材料(130)及第二材料(140)的表面。
如圖1A中所示,基板110可包含覆蓋膜層堆疊的圖案化層140,該膜層堆疊包含待蝕刻或待圖案化的一或更多覆層120、130。圖案化層140可定義覆蓋一或更多額外覆層的開放式特徵部圖案150。基板110更包含裝置層。裝置層可包含基板上的任何薄膜或結構,圖案將被轉移成該薄膜或結構,或目標材料將移除成該薄膜或結構。
覆層130及140可為用於電子裝置(包含半導體裝置、電子-機械裝置、光伏裝置等)之製造的任何材料。然而,為了相對於一覆層(例如,第二材料之覆層140)選擇性蝕刻另一覆層(例如,第一材料之覆層130),兩覆層的材料組成本質上係不同的,使得每一覆層被曝露於蝕刻劑時呈現不同的耐蝕刻性。覆層130、140可為有機或無機材料。覆層130、140可為含矽材料、含鍺材料、含碳材料、或含金屬材料。舉例而言,含矽材料可包含非晶矽(a-Si)、多晶矽(poly-Si)、單晶矽、摻雜矽、矽氧化物(SiOx)、矽氮化物(SiNy)、矽碳化物(SiCz)、矽氧氮化物(SiOxNy)、矽氧碳化物(SiOxCz)、矽鍺合金(SixGe1-x)等。含金屬材料可包含金屬、金屬合金、過渡金屬(例如,Ti、Ta、W、Ru、Co、Ni、Hf等)、過渡金屬氧化物(例如,鈦氧化物(TiOx))、過渡金屬氮化物(例如,鈦氮化物(TiNy))、碳化物、硫屬化物等。覆層130、140可包括有機耐蝕劑、抗反射塗層、或平坦化層,或者可包括含矽耐蝕劑、抗反射塗層、或具有變化程度之矽含量的平坦化層。以上材料可使用氣相沉積技術或噴塗沉積技術進行沉積。
在圖1B、及圖2之步驟214中,執行調變電漿蝕刻製程,從而以大於移除第二材料(140)的速率選擇性移除第一材料(130),藉此使覆蓋一或更多額外覆層的開放式特徵部圖案150延伸進入覆層130。調變電漿蝕刻製程包含具 有序列式功率施加步驟的功率調變循環。序列式功率施加步驟涉及:在第一功率位準施加射頻(RF)訊號至電漿處理系統、在第二功率位準施加RF訊號至電漿處理系統、及在第三功率位準施加RF訊號至電漿處理系統,其中第一、第二、及第三功率位準在數值上互不相同。視完成蝕刻製程至符合目標規格所需,重複該調變循環至少再一個循環,其中每一調變循環包含調變時間段。調變循環可包含週期性調變循環。
現在參考圖3,其描繪調變電漿蝕刻製程300。在一實施例中,調變電漿蝕刻製程300包含傳送至基板固持器或托座之射頻(RF)功率的調變,基板係定位於該基板固持器或托座上。基板固持器可將基板定位成面向RF供電電極,例如,電容耦合元件或感應耦合元件(以下待述)。或者,基板固持器可將基板定位成面向槽孔平面天線,其中例如微波頻率的功率係耦合於該槽孔平面天線。在圖4至6中描繪例示性系統。儘管所述為傳送至基板固持器或托座之RF功率的調變,但其可替代地耦合於電漿處理系統中的其他功率耦合元件。
調變電漿蝕刻製程描繪具有第一功率位準(P1)312、第二功率位準(P2)314、及第三功率位準(P3)316的調變循環310。如圖3中所示,第一功率位準312超過第二功率位準314,且第二功率位準314超過第三功率位準316。第三功率位準316可包含斷電狀態,或相對低的功率狀態。根據一實施例,功率位準次序的順序係顯示於圖3中。然而,功率位準次序可有所不同(例如,P3-P2-P1、P2-P3-P1、P2-P1-P3等)。
第二功率位準314可在從第一功率位準312之20%至80%的範圍內。或者,第二功率位準314可在從第一功率位準312之40%至60%的範圍內。第三功率位準316可在從第二功率位準314之0%至50%的範圍內。或者,第三功率位準316可在從第二功率位準314之0%至20%的範圍內。
如圖3中所描述,調變循環310可包含週期性調變循環。調變循環310可包含從1Hz至100kHz範圍內的調變頻率。或者,調變頻率可在從5Hz至50Hz的範圍內。又或者,調變頻率可等於或大於1kHz。於第一RF功率位準施加RF訊號可佔調變循環310之時間段的從5%至20%的範圍(標示為322)。於第二RF功率位準314施加RF訊號可佔調變循環之時間段的從30%至50%的範圍(標示為324)。於第三RF功率位準316施加RF訊號可佔調變循環之時間段的從30%至60%的範圍(標示為326)。
發明人認為,在覆層130的製備中、覆層130的蝕刻期間或蝕刻之後(圖1A、及1B)、或覆層130蝕刻期間的步驟中,第一功率位準312可用以「打破」(break-through)鈍化層(例如,原生氧化物等)、反應副產物、殘留物等。發明人亦認為第二功率位準314可用以選擇性地蝕刻覆層130(相對於覆層140),以及可選地鈍化諸多表面,從而影響選擇性及輪廓控制。發明人更認為第三功率位準316可用以從處理空間排出及/或沖洗副產物。
在調變電漿蝕刻製程期間,可調整調變循環的至少一特性。該至少一特性可包含功率振幅、調變頻率、調變工作循環、調變波形、或調變相位(相對於其他調變特性,例如,氣流、來源、及/或偏壓功率等)。
在一實施例中,調變電漿蝕刻製程可包含製程參數空間,該製程參數空間包含:高達約1000mtorr(毫托)範圍內的腔室壓力(例如,高達約200mtorr、或高達約50至150mtorr)、高達約2000sccm(標準立方釐米每分鐘)範圍內的含鹵素氣體流速(例如,高達約1000sccm、或約1sccm至約200sccm)、高達約2000sccm範圍內的聚合氣體流速(例如,高達約1000sccm、或約1sccm至約100sccm)、高達約2000sccm範圍內的可選稀有氣體(例如,He或Ar)流速(例如,高達約1000sccm)、高達約2000至5000W(瓦特)範圍內的上電極/天線功率(例如,高達約1000W、或高達約600W)、及高達約1000至2000W範圍內的下電極功率(例 如,高達約600W、或高達約100W、或高達50W)。又,上電極/天線頻率可在從約0.1MHz至約3GHz的範圍內。此外,下電極RF頻率可在從約0.1MHz至約100MHz的範圍內,例如約2MHz。
以上所述之基板蝕刻方法的一或更多者可利用例如圖4至6中所述系統的電漿處理系統執行。然而,所討論之方法不受限於該例示性展示的範疇。根據以上所述之諸多實施例的基板蝕刻方法可在以下並未具體描述的其他電漿處理系統中執行。再者,圖4至6中所述的諸多元件可與未描述的其他元件一起使用、可被未描述的其他元件替代、或可以未描述的其他元件加以補足。雖然所述為具有諸多電磁頻率的一或更多RF或微波電源,但亦考量基板W之上、之下、或圍繞基板W的複數電源。
圖4為根據本文中實施例之微波電漿處理設備的示意性橫剖面圖。藉由使用例如平坦平板型槽孔天線在微波頻率下激發的表面波電漿,微波電漿處理設備可配置成執行例如電漿蝕刻、電漿加強化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)、電漿加強原子層沉積(PEALD,plasma enhanced atomic layer deposition)等的電漿處理。電漿處理可在處理腔室401內執行,該處理腔室401可為由加工或鑄造金屬(例如,鋁或不鏽鋼)組成的圓柱形真空腔室。舉例而言,處理腔室401係利用接地線402而電性接地。處理腔室401定義處理容器,其提供用於產生電漿的處理空間PS。處理容器的內壁可利用保護屏障(例如,鋁土、氧化釔、或其他保護劑)加以塗佈。
在處理腔室401內的中心靠下區域處,托座412(其可為圓盤狀)可用作安裝檯,例如待處理基板W(如半導體晶圓)可安裝於該安裝檯上。基板W可透過裝載/卸載埠437及閘閥427而移入處理腔室401。靜電卡盤436係設置於托座412的頂部表面上。夾持電極435係電性連接於DC(直流電)電源439。靜電卡盤436 經由靜電力將基板W吸附於該靜電卡盤436,該靜電力係當來自DC電源439的DC電壓施加於夾持電極435時產生,使得基板W被牢固地安裝於托座412上。
用以施加RF(射頻)偏壓的高頻電源429係透過阻抗匹配單元428(用以匹配阻抗,或使反射功率最小化)及功率饋送桿424而電性連接於托座412或偏壓電極。高頻電源429可輸出例如從0.2MHz至20MHz範圍內(例如,13.56MHz)的高頻電壓。施加高頻偏壓功率將藉由處理腔室401中之電漿所產生的離子吸引至基板W。電源429可包含訊號產生器、及用以根據以上所述調變循環調變(自電源429輸出之)振幅及功率的放大器。聚焦環438係設置於靜電卡盤436徑向外側,以圍繞基板W。
舉例而言,冷卻劑流動路徑444可於托座412內在周向上延伸,且可配置成接收循環冷卻劑,以輔助控制靜電卡盤436上之基板W的處理溫度。此外,來自熱轉移氣體供應單元(未顯示)的熱轉移氣體可經由氣體供應線路445而供應至靜電卡盤436之頂部表面及基板W之背部表面之間的空間。
排出路徑433可沿著支撐單元414及/或傳導支撐單元416的外周、及處理腔室401的內壁而形成,其中,環形擋板434係附接於排出路徑433及排出埠432(或複數排出埠)的頂部或入口,該排出埠432(或複數排出埠)係設置於排出路徑433的底部中。氣體排出單元430係透過氣體排出線路431(其可具有複數的排出線路)而連接於每一排出埠432。氣體排出單元430可包含例如渦流分子泵的真空泵,其係配置成將處理腔室401內之電漿處理空間減壓至期望真空狀態。
現在將描述微波電漿處理設備的上部。介電窗457係設置成密封處理腔室401的上部,微波頻率下的電磁輻射可透過該介電窗457傳播至處理空間PS。處理腔室401內、介電窗457正下方的空間用作充當處理空間PS的電漿產生空間。介電窗457可由微波可穿透介電材料(例如,石英或陶瓷,包含鋁氧化物)的)製成,且可具有例如約20mm(毫米)的厚度、或足夠機械性抵抗處理腔室401 之內部及周圍環境之間的壓差的厚度。介電窗457可設置成具有槽孔板454,其可為附接或設置於介電窗457之頂部表面上的導體。槽孔板454可具有以旋轉對稱配置而同心分佈的用以輻射微波之複數槽孔對,但可使用其他幾何配置。在槽孔板454上,介電板456可減短傳播於槽孔板454內側之微波的波長。槽孔板454係電磁耦合於微波傳輸線458。槽孔天線455(其可為例如平坦平板型槽孔天線、或圓盤狀、徑向線型槽孔天線)可包含槽孔板454、介電板456、及設置成與槽孔板454相反的天線背板(未顯示)。
微波傳輸線458為配置成傳播或傳輸微波頻率或其他頻率(例如,2.45GHz之微波)下之電磁波的線路,該電磁波係從微波產生器460以預定功率位準輸出至槽孔天線455。微波傳輸線458可包含波導462、波導共軸線型轉換器464、及共軸線路466。例如,波導462可為配置成將來自微波產生器460之微波傳輸至波導共軸線型轉換器464的長方形波導。共軸線路466從波導共軸線型轉換器464延伸至處理腔室401之頂部的中心部,且共軸線路466的終端係透過介電板456耦接於槽孔天線455。外導體469及內導體468可定義波傳輸的空間。連接器單元479係連接於內導體468的下端。
此外,當電磁波透過介電板456徑向傳播時,波長減短,且波型轉變成具有圓偏極化(circular polarization)的平面波(其具有兩正交的偏極化分量),該平面波從槽孔天線455的每一槽孔對向處理腔室401的內側輻射。介電窗457之表面附近的製程氣體然後係藉由沿介電窗457表面在徑向上傳播的表面波的電場(微波電場)進行離子化,並且因此產生高密度且低電子溫度的電漿。
介電板456可包含冷卻套板(cooling jacket plate)442,其可用作覆蓋處理腔室401之頂部的天線背板。冷卻套板442可配置成吸收由介電窗457及介電板456所產生之介電損失的熱(輻射)。為提供冷卻,冷卻劑可在流動路徑443中循環,並透過導管446及導管448饋送及移除。
微波電漿處理設備可包含用於引入製程氣體的兩路線。上氣體引入部481包含設置於介電窗457中的氣體流動路徑,且側氣體引入部487包含設置於處理腔室401之側壁中的氣體流動路徑,以作為用以將處理氣體引入處理腔室401中的氣體引入機構。
在上氣體引入部481中,氣體流動路徑488係設置於共軸線路466的內導體468中,以在軸向上延伸穿過內導體468的內側。此外,來自製程氣體供應系統480的第一氣體供應線路484係連接於內導體468的上端及共軸線路466的氣體流動路徑488。連接器單元479可具有複數的內部流動路徑,該等內部流動路徑係自共同入口鑽孔並在徑向上分支。連接器單元479可由導體製成,且可電性接地。介電窗457可形成具有連接於分支氣體供應路徑終端的內流動路徑,例如用以使製程氣體垂直地穿過介電窗457而面向處理腔室401內的電漿產生空間。
在上氣體引入部481中,在預定壓力下從製程氣體供應系統480流通的處理氣體(例如,蝕刻氣體或膜層形成氣體)流過第一氣體供應線路484、共軸線路466的氣體流動路徑488,以及在終端處從每一氣體噴射埠453射出。質流控制器(MFC,mass flow controller)486及對應的閥可用以打開/閉合、及計量第一氣體供應線路484中的製程氣體流。
側氣體引入部487係定位於比介電窗457底部表面低的位置,且可包含緩衝室489(歧管)、側壁氣體噴射埠459、及從製程氣體供應系統480延伸至緩衝室489的第二氣體供應線路485。質流控制器483及對應的閥可用以打開/閉合、及計量第二氣體供應線路485中的製程氣體流。來自側氣體引入部487的製程氣體可從分別的側壁氣體噴射埠459以實質上水平流噴射成在處理空間PS中擴散。
電漿處理設備的元件可連接且受控制於控制單元450,該控制單元450因此可連接於對應的儲存單元452及使用者介面451。控制單元450可包含微電 腦,其係用以控制微波電漿處理設備內複數元件(例如,氣體排出單元430、高頻電源429、靜電卡盤436的DC電源439、微波產生器460、上氣體引入部481、側氣體引入部487、製程氣體供應系統480、及熱轉移氣體供應單元(未顯示))之每一者的操作、或整體設備的操作。諸多電漿處理操作可經由使用者介面451執行,且諸多電漿處理製程配方及操作可儲存於儲存單元452中。據此,給定基板可在電漿處理腔室中內用各種微製造技術加以處理。
圖5為根據本文中實施例之電容耦合電漿處理設備的示意性橫剖面圖。該設備可用於包含灰化、蝕刻、沉積、清洗、電漿聚合、電漿加強化學氣相沉積(PECVD)等的複數操作。電漿處理可在處理腔室501內執行,該處理腔室501可為由例如鋁或不鏽鋼的金屬所製成的真空腔室。處理腔室501係使用例如接地線502而接地。處理腔室501定義處理容器,其提供用於產生電漿的處理空間PS。處理容器的內壁可利用鋁土、氧化釔、或其他保護劑加以塗佈。處理容器在形狀上可為圓柱形,或具有其他幾何配置。
在處理腔室501內的中心靠下區域處,托座512(其可為圓盤狀)可用作安裝檯,例如待處理之基板W(如半導體晶圓)可安裝於該安裝檯上。基板W可透過裝載/卸載埠537及閘閥527而移入處理腔室501。托座512形成下電極520(下電極組件)的一部分,以作為充當安裝基板W於其上之安裝檯之第二電極的範例。具體而言,托座512受支撐於托座支撐件515上,該托座支撐件515係經由絕緣板517而設置於處理腔室501之底部的實質上中心區域。托座支撐件515可為圓柱形。舉例而言,托座512可由鋁合金形成。
托座512可設置有用以固持基板W的靜電卡盤536(作為下電極組件的一部分)。靜電卡盤536係設置有夾持電極535。夾持電極535係電性連接於DC(直流電)電源539。靜電卡盤536經由靜電力將基板W吸附於該靜電卡盤536,該靜電力係當來自DC電源539的DC電壓施加於夾持電極535時產生,使得基 板W被牢固地安裝於托座512上。用以施加RF(射頻)偏壓的高頻電源529係透過阻抗匹配單元528(用以匹配阻抗,或使反射功率最小化)而電性連接於托座512或偏壓電極。高頻電源529(第二電源)可輸出例如從0.2MHz至20MHz範圍內的高頻電壓。施加高頻偏壓功率將由處理腔室501中之電漿所產生的離子吸引至基板W。電源529可包含訊號產生器及用以根據以上所述之調變循環調變(自電源529輸出之)振幅及功率的放大器。聚焦環538係設置於靜電卡盤536徑向外側,以圍繞基板W。
可為圓柱形、且由例如石英形成的內壁構件519可附接於靜電卡盤536及托座支撐件515的外圓周側。托座支撐件515包含冷卻劑流動路徑544(用以流動冷卻或加熱流體)。冷卻劑流動路徑544與安裝於處理腔室501外側的冷卻器單元(未顯示)連通。冷卻劑流動路徑544係利用循環穿過對應線路的冷卻劑(冷卻或加熱流體,例如水或介電流體)供應。據此,可準確控制安裝於托座512上/上方之基板W的溫度。穿過托座512及托座支撐件515的氣體供應線路545係配置成供應熱轉移氣體至靜電卡盤536的上表面。例如氦(He)的熱轉移氣體(亦稱為背側氣體)可經由氣體供應線路545而供應至基板W及靜電卡盤536之間,以輔助加熱基板W。
排出路徑533可沿著內壁構件519的外周及處理腔室501的內壁表面形成。排出埠532(或複數排出埠)係設置於排出路徑533的底部中。氣體排出單元530係透過氣體排出線路531而連接於每一排出埠。氣體排出單元530可包含例如渦流分子泵的真空泵,其係配置成將處理腔室501內之電漿處理空間減壓至期望的真空狀態。氣體排出單元530排空處理腔室501的內側,從而將處理腔室501的內部壓力降壓至期望程度的真空。
上電極570(亦即,上電極組件)為第一電極的範例,其係定位於下電極520的垂直上方,以面向下電極520(例如,作為平行板電極)。電漿產生空間 (或處理空間PS)係定義於下電極520及上電極570之間。上電極570可包含具有例如圓盤狀的內部上電極571及具有例如環狀的外部上電極572,該外部上電極572圍繞內部上電極571的圓周。內部上電極571亦用作處理氣體入口,以噴射特定量的處理氣體進入安裝於下電極520上之基板W上方的處理空間PS中。上電極570藉此形成噴淋頭。
更具體地,內部上電極571包含具有氣體噴射開口582的電極板575(通常為圓形)。內部上電極571亦包含電極支撐件578,其以可卸除方式支撐電極板575的上側。電極支撐件578可形成為圓盤的形狀,其具有實質上與電極板575相同的直徑(當電極板575在形狀上以圓形實施時)。在替代實施例中,電極板575可為方形、長方形、多角形等。電極板575可由導體或半導體材料形成,例如Si、SiC、摻雜Si、鋁等。電極板575可與上電極570為一體,或以可卸除方式受電極支撐件578支撐,以便在表面腐蝕後更換給定板件。上電極570亦可包含冷卻板或冷卻機構(未顯示),以控制電極板575的溫度。
電極支撐件578可由例如鋁形成,且可包含緩衝室589。緩衝室589係用於擴散製程氣體,且可定義圓盤狀空間。來自製程氣體供應系統580的處理氣體將氣體供應至上電極570。製程氣體供應系統580可配置成供應處理氣體,用以在基板W上執行特定製程(例如,膜層形成、蝕刻、及類似者)。製程氣體供應系統580係與氣體供應線路584相連,而形成處理氣體供應路徑。氣體供應線路584係連接於內部上電極571的緩衝室589。處理氣體然後可從緩衝室589移至該緩衝室589下表面處的氣體噴射開口582。引入緩衝室589中之處理氣體的流速可使用例如質流控制器而加以調整。進一步講,所引入之處理氣體係從電極板575(噴淋頭電極)的氣體噴射開口582均勻地排放至處理空間PS。內部上電極571然後部分地運作為提供噴淋頭電極組件。
具有環形形狀的介電體576可介設於內部上電極571及外部上電極572之間。絕緣體506(其可為具有環形形狀、且由例如鋁土製成的屏蔽構件)係以氣密方式介設於外部上電極572及處理腔室501的內周壁之間。
外部上電極572係經由功率饋送器565、上部功率饋送桿561、及匹配單元566與高頻電源560(第一高頻電源)電性連接。高頻電源560可輸出具有40MHz(百萬赫)或更高者(例如,60MHz)之頻率的高頻電壓,或可輸出具有3-300MHz之頻率的超高頻(VHF,very high frequency)電壓。該電源相較於偏壓電源可稱為主電源。功率饋送器565可形成為例如具有開放式下表面的實質圓柱狀。功率饋送器565在其下端部可連接於外部上電極572。功率饋送器565係在其上表面的中心部處與上部功率饋送桿561的下端部電性連接。上部功率饋送桿561係在其上端部處連接於匹配單元566的輸出側。匹配單元566係連接於高頻電源560,且可匹配負載阻抗與高頻電源560的內部阻抗。然而,應注意外部上電極572係選擇性的且實施例可用單一上電極運作。
功率饋送器565在其外側上可藉由接地導體567加以覆蓋,該接地導體567可為圓柱狀且具有側壁,該側壁的直徑係與處理腔室501的直徑實質上相同。接地導體567係在其下端部處連接於處理腔室501之側壁的上部。上部功率饋送桿561穿過接地導體567之上表面的中心部。絕緣構件564係介設於接地導體567及上部功率饋送桿561之間的接觸部。
電極支撐件578係在其上表面上與下部功率饋送桿563電性連接。下部功率饋送桿563係經由連接器連接於上部功率饋送桿561。上部功率饋送桿561及下部功率饋送桿563形成功率饋送桿,用以將來自高頻電源560的高頻電功率供應至上電極570。可變電容器562係設置於下部功率饋送桿563中。藉由調整可變電容器562的電容,當施加來自高頻電源560的高頻電功率時,形成於外部上電極572正下方之電場強度對形成於內部上電極571正下方之電場強度的相對比 可加以調整。上電極570的內部上電極571係與低通濾波器(LPF,low pass filter)591電性連接。LPF 591阻隔或過濾來自高頻電源560的高頻率,同時將來自高頻電源529的低頻率傳遞至接地。系統的下部(形成下電極520之一部分的托座512)係與高通濾波器(HPF,high pass filter)592電性連接。HPF 592將來自高頻電源560的高頻傳遞至接地。
電漿處理設備的元件可連接至控制單元550且受其控制,該控制單元550因此可連接於對應的儲存單元552及使用者介面551。諸多電漿處理操作可經由使用者介面551加以執行,且諸多電漿處理製程配方及操作可儲存於儲存單元552中。據此,給定基板可在電漿處理腔室內利用諸多微製造技術加以處理。在操作中,電漿處理設備使用上電極及下電極,以在處理空間PS中產生電漿。如此產生之電漿然後可用於以諸多類型的處理(例如,電漿蝕刻、化學氣相沉積、玻璃材料的處理、及大面板(例如,薄膜太陽能電池、其他光伏電池、及用於平板顯示器的有機/無機板件等)的處理)來處理目標基板(例如,基板W、或待處理的任何材料)。
將來自高頻電源560之從約3MHz至300MHz範圍內的高頻電功率施加於上電極570。高頻電場係產生於上電極570及托座512或下電極之間。然後可使傳送至處理空間PS的處理氣體離子化及解離,以形成反應電漿。可將來自高頻電源529之從約0.2MHz至20MHz之範圍內的低頻電功率施加於形成下電極的托座512。換言之,可使用雙頻率或三頻率系統。因此,電漿中的離子被吸引至托座512,從而藉由離子輔助以足夠的能量非等向性地蝕刻特徵部。注意到,為方便起見,圖5顯示供應功率至上電極570的高頻電源560。在替代實施例中,高頻電源560可向下電極520供電。因此,主功率(激發功率)及偏壓功率(離子加速功率)二者皆可被供應至下電極。
圖6為根據本文中實施例之感應耦合電漿處理設備的示意性橫剖面圖。該設備可用於包含灰化、蝕刻、沉積、清洗、電漿聚合、電漿加強化學氣相沉積(PECVD)等的複數操作。電漿處理可在處理腔室601內執行,該處理腔室601可為由例如鋁或不鏽鋼之金屬組成的真空腔室。處理腔室601係使用例如接地線602接地。處理腔室601定義處理容器,其提供用於產生電漿的處理空間PS。處理容器的內壁可利用鋁土、氧化釔、或其他保護劑加以塗佈。處理容器在形狀上可為圓柱形,或具有其他幾何配置。
在處理腔室601內的中心靠下區域處,托座612(其可為圓盤狀)可用作安裝檯,例如待處理之基板W(如半導體晶圓)可安裝於該安裝檯上。基板W可透過裝載/卸載埠637及閘閥627而移入處理腔室601。托座612形成下電極620(下電極組件)的一部分,以作為充當安裝基板W於其上之安裝檯之第二電極的範例。具體而言,托座612受支撐於托座支撐件625上,該托座支撐件625係設置於處理腔室601之底部的實質上中心區域。托座支撐件625可為圓柱形。舉例而言,托座612可由鋁合金形成。
托座612可設置有用以固持基板W的靜電卡盤636(作為下電極組件的一部分)。靜電卡盤636係設置有夾持電極635。夾持電極635係電性連接於DC(直流電)電源639。靜電卡盤636經由靜電力將基板W吸附於該靜電卡盤636,該靜電力係當來自DC電源639的DC電壓施加於夾持電極635時產生,使得基板W被牢固地安裝於托座612上。
托座612可包含隔離框架613,且可受支撐於可包含抬升機構的托座支撐件625。在基板W的裝載及/或卸載期間,托座612可藉由抬升機構而垂直移動。伸縮囊626可設置於隔離框架613及處理腔室601的底部之間,以作為氣密封閉體圍繞支撐件625。托座612可包含溫度感測器及溫度控制機構,包含冷卻劑流動路徑(用以流動冷卻或加熱流體)、例如陶瓷加熱器或類似者(皆未顯 示)的加熱單元(可用以控制基板W的溫度)。冷卻劑流動路徑與安裝於處理腔室601外側的冷卻器單元(未顯示)連通。冷卻劑流動路徑係利用循環穿過對應線路的冷卻劑(冷卻或加熱流體,例如水或介電流體)加以供應。聚焦環(未顯示)可設置於托座612的上表面上,以圍繞靜電卡盤636並且有助於方向性離子轟擊。
穿過托座612的氣體供應線路645係配置成供應熱轉移氣體至靜電卡盤636的上表面。例如氦(He)的熱轉移氣體(亦稱為背側氣體)可經由氣體供應線路645而供應至基板W及靜電卡盤636之間,以輔助加熱基板W。
包含真空泵及類似者的氣體排出單元630可透過氣體排出線路631而連接於處理腔室601的底部。氣體排出單元630可包含例如渦流分子泵的真空泵,其係配置成在給定電漿處理操作期間將處理腔室601內的電漿處理空間減壓至期望的真空狀態。
電漿處理設備可藉由窗部655而分隔成天線腔室603及處理腔室601。窗部655可為例如石英的介電材料、或例如金屬的傳導材料。就窗部655為金屬的實施例而言,窗部655可例如經由絕緣體606而與處理腔室601電性絕緣。在該範例中,窗部655形成處理腔室601的頂部。在一些實施例中,窗部655可劃分成複數部分,且該等部分可選地互相絕緣。
支撐架605係設置於天線腔室603的側壁604及處理腔室601的側壁607之間,向處理設備內側突出。支撐構件609用以支撐窗部655,並且亦用作供應處理氣體的噴淋頭殼體。當支撐構件609用作噴淋頭殼體時,在平行於待處理基板W之工作表面方向上延伸的氣體通道683係形成於支撐構件609內,且與用以噴射製程氣體至處理空間PS中的氣體噴射開口682連通。氣體供應線路684係配置成與氣體通道683連通。氣體供應線路684定義穿過處理腔室601之頂部的流動路徑,且該氣體供應線路684係連接於包含處理氣體供應源、閥系 統、及對應元件的製程氣體供應系統680。據此,在電漿處理期間,給定的製程氣體可被噴射至處理空間PS中。
在天線腔室603中,高頻天線662(射頻)係設置於窗部655上方,以面向窗部655,且該高頻天線662(射頻)可藉由由絕緣材料製成的間隔件667與窗部655隔開。高頻天線662可形成為螺旋狀,或以其他配置形成。
在電漿處理期間,可經由功率饋送構件661將來自高頻電源660、用以產生感應電場、具有從若干MHz至數百MHz之範圍內之頻率(例如,13.56MHz)的高頻功率供應至高頻天線662。匹配單元666(阻抗匹配單元)可連接於高頻電源660。該範例中的高頻天線662可具有連接於功率饋送構件661之對應的功率饋送部664及功率饋送部665、以及取決於特定天線配置的額外的功率饋送部。功率饋送部可設置於相似的直徑距離及角度間隔處。天線線路可從功率饋送部664及功率饋送部665向外延伸(或者,取決於天線配置向內延伸)至天線線路的端部。天線線路的端部可連接於電容器668,且天線線路係經由電容器668接地。電容器668可包含一或更多可變電容器。
在給定基板安裝於處理腔室601內的情況下,可執行一或更多電漿處理操作。藉由施加高頻功率至高頻天線662,在處理腔室601中產生感應電場,且在存在藉由感應電場而加熱之電子的情況下,從氣體噴射開口682供應的處理氣體被激發,以形成電漿。然後可使用電漿來處理給定基板,例如執行用於蝕刻、灰化、沉積等的製程。
用以施加RF(射頻)偏壓的高頻電源629係電性連接於托座612,或透過阻抗匹配單元628(用以匹配阻抗,或使反射功率最小化)電性連接於偏壓電極。高頻電源629(第二電源)可輸出例如從0.2MHz至20MHz範圍內(例如,3.2MHz)的高頻電壓。施加高頻偏壓功率將由處理腔室601中之電漿所產生的離子吸引至 基板W。電源629可包含訊號產生器、及用以根據以上所述調變循環調變(自電源629所輸出之)振幅及功率的放大器。
電漿處理設備的元件可連接且可受控制於控制單元650,該控制單元650因此可連接於對應的儲存單元652及使用者介面651。諸多電漿處理操作可經由使用者介面651加以執行,且諸多電漿處理製程配方及操作可儲存於儲存單元652中。據此,給定基板可在電漿處理腔室內利用諸多微製造技術加以處理。
儘管以上已進行詳述的僅僅是本發明的某些實施例,但是熟悉本技術領域者將輕易瞭解,在實質上不背離本發明之新穎教示及優點的情況下,實施例中許多變化皆有可能。據此,意在將所有如此的修正包含於本發明的範疇內。

Claims (20)

  1. 一種蝕刻方法,包含:在一電漿處理系統的一處理空間中設置一基板,該基板具有露出一第一材料及一第二材料的一表面;執行一調變電漿蝕刻製程,以選擇性地以大於移除該第二材料的一速率移除該第一材料,該調變電漿蝕刻製程包含具有序列式功率施加步驟的一功率調變循環,該功率調變循環包含:於一第一功率位準施加一射頻(RF,radio frequency)訊號至該電漿處理系統,在於該第一功率位準施加該RF訊號之步驟之後,於一第二功率位準施加該RF訊號至該電漿處理系統,以及在於該第二功率位準施加該RF訊號之步驟之後,於一第三功率位準施加該RF訊號至該電漿處理系統;以及重複該功率調變循環至少再一個循環,每一調變循環包含一調變時間段,其中該第一、第二、及第三功率位準在數值上互相不同。
  2. 如申請專利範圍第1項之蝕刻方法,其中該功率調變循環包含一週期性調變循環。
  3. 如申請專利範圍第1項之蝕刻方法,其中該第一功率位準超過該第二功率位準。
  4. 如申請專利範圍第2項之蝕刻方法,其中該第二功率位準超過該第三功率位準。
  5. 如申請專利範圍第4項之蝕刻方法,其中該第三功率位準包含一功率斷電狀態。
  6. 如申請專利範圍第4項之蝕刻方法,其中該第二功率位準在該第一功率位準之從20%至80%的範圍內。
  7. 如申請專利範圍第4項之蝕刻方法,其中該第二功率位準在該第一功率位準之從40%至60%的範圍內。
  8. 如申請專利範圍第4項之蝕刻方法,其中該第三功率位準在該第二功率位準之從0%至50%的範圍內。
  9. 如申請專利範圍第4項之蝕刻方法,其中該第三功率位準在該第二功率位準之從0%至20%的範圍內。
  10. 如申請專利範圍第2項之蝕刻方法,其中該功率調變循環的一調變頻率在從1Hz至100kHz的範圍內。
  11. 如申請專利範圍第10項之蝕刻方法,其中該調變頻率在從5Hz至50Hz的範圍內。
  12. 如申請專利範圍第10項之蝕刻方法,其中該調變頻率等於或大於1kHz。
  13. 如申請專利範圍第1項之蝕刻方法,其中於該第一功率位準施加該RF訊號佔該功率調變循環之時間段的從5%至20%的範圍。
  14. 如申請專利範圍第13項之蝕刻方法,其中於該第二功率位準施加該RF訊號佔該功率調變循環之時間段的從30%至50%的範圍。
  15. 如申請專利範圍第13項之蝕刻方法,其中於該第三功率位準施加該RF訊號佔該功率調變循環之時間段的從30%至60%的範圍。
  16. 如申請專利範圍第1項之蝕刻方法,其中該RF訊號係施加於一基板固持器,該基板係定位於該基板固持器上。
  17. 如申請專利範圍第16項之蝕刻方法,其中該基板固持器使該基板定位成面向一RF供電電極。
  18. 如申請專利範圍第16項之蝕刻方法,其中該基板固持器使該基板定位成面向一槽孔平面天線。
  19. 如申請專利範圍第18項之蝕刻方法,其中一微波頻率的功率係耦合於該槽孔平面天線。
  20. 如申請專利範圍第1項之蝕刻方法,其中以一步進方式,該第一功率位準立即切換至該第二功率位準,且該第二功率位準立即切換至該第三功率位準。
TW106102020A 2016-01-20 2017-01-20 用以蝕刻高深寬比特徵部之功率調變的方法 TWI651753B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662281106P 2016-01-20 2016-01-20
US62/281,106 2016-01-20

Publications (2)

Publication Number Publication Date
TW201737294A TW201737294A (zh) 2017-10-16
TWI651753B true TWI651753B (zh) 2019-02-21

Family

ID=59313911

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106102020A TWI651753B (zh) 2016-01-20 2017-01-20 用以蝕刻高深寬比特徵部之功率調變的方法

Country Status (3)

Country Link
US (1) US10410873B2 (zh)
KR (1) KR101998943B1 (zh)
TW (1) TWI651753B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
KR102116474B1 (ko) 2020-02-04 2020-05-28 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR20220003862A (ko) * 2020-07-02 2022-01-11 삼성전자주식회사 유도 결합형 플라즈마 처리 장치
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method
US20230230807A1 (en) * 2021-03-10 2023-07-20 Lam Research Corporation Control of mask cd
WO2023081703A1 (en) * 2021-11-03 2023-05-11 Lam Research Corporation Modification of metal-containing surfaces in high aspect ratio plasma etching

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050034816A1 (en) * 2003-08-15 2005-02-17 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
TWI346358B (en) * 2003-08-22 2011-08-01 Lam Res Corp High aspect ratio etch using modulation of rf powers of various frequencies
TW201419412A (zh) * 2008-11-13 2014-05-16 Tokyo Electron Ltd 電漿蝕刻方法及電漿蝕刻裝置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5632855A (en) * 1995-08-29 1997-05-27 Advanced Micro Devices Thermal oxide etch technique
US6084226A (en) * 1998-04-21 2000-07-04 Cem Corporation Use of continuously variable power in microwave assisted chemistry
US6589879B2 (en) * 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US9472353B2 (en) * 2011-04-07 2016-10-18 Corning Incorporated Ultracapacitor with improved aging performance
JP6081176B2 (ja) * 2012-12-12 2017-02-15 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
KR20150087702A (ko) 2014-01-22 2015-07-30 삼성전자주식회사 플라즈마 발생 장치
JP6356615B2 (ja) * 2015-02-06 2018-07-11 東芝メモリ株式会社 半導体製造装置および半導体製造方法
US9788405B2 (en) * 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US10340123B2 (en) * 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050034816A1 (en) * 2003-08-15 2005-02-17 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
TWI346358B (en) * 2003-08-22 2011-08-01 Lam Res Corp High aspect ratio etch using modulation of rf powers of various frequencies
TW201419412A (zh) * 2008-11-13 2014-05-16 Tokyo Electron Ltd 電漿蝕刻方法及電漿蝕刻裝置

Also Published As

Publication number Publication date
US20170207099A1 (en) 2017-07-20
TW201737294A (zh) 2017-10-16
KR101998943B1 (ko) 2019-07-10
US10410873B2 (en) 2019-09-10
KR20170087426A (ko) 2017-07-28

Similar Documents

Publication Publication Date Title
TWI679674B (zh) 蝕刻基板的方法
TWI651753B (zh) 用以蝕刻高深寬比特徵部之功率調變的方法
KR101676875B1 (ko) 플라즈마 처리 장치
TWI515791B (zh) Plasma etching method and plasma etching device
US7416677B2 (en) Exhaust assembly for plasma processing system and method
TW201841251A (zh) 在電感耦合電漿處理腔室內以低偏壓電壓產生近基板補充電漿密度
US20100101727A1 (en) Capacitively coupled remote plasma source with large operating pressure range
JP6861535B2 (ja) 処理方法及びプラズマ処理装置
KR20190019205A (ko) 다중 구역 전극 어레이에서의 rf 전력 분배 방법
TW202004910A (zh) 用於低深寬比堆疊圖案化的方法和系統
KR20070098499A (ko) 플라즈마 처리용의 전극판 및 플라즈마 처리 장치
KR20160149151A (ko) 플라즈마 처리 방법
KR19990081874A (ko) 플라즈마 처리장치
CN105702572A (zh) 等离子体蚀刻方法
TWI787239B (zh) 有機材料的蝕刻方法及設備
WO2000031787A1 (fr) Dispositif de gravure a sec et procede de gravure a sec
JP3907444B2 (ja) プラズマ処理装置及び構造体の製造方法
JP3732287B2 (ja) プラズマ処理装置
US11955319B2 (en) Processing chamber with multiple plasma units
KR100728164B1 (ko) 대면적 기판의 식각 장치 및 식각 방법
JP2005286344A (ja) ドライエツチング装置および半導体装置の製造方法
JP3364131B2 (ja) プラズマ処理装置
JPH1197198A (ja) プラズマ処理装置及びその方法
JPH11241189A (ja) 誘導結合放電エッチング装置