KR101998943B1 - 하이 애스펙스비의 피처를 에칭하기 위한 전력 변조 - Google Patents

하이 애스펙스비의 피처를 에칭하기 위한 전력 변조 Download PDF

Info

Publication number
KR101998943B1
KR101998943B1 KR1020170009829A KR20170009829A KR101998943B1 KR 101998943 B1 KR101998943 B1 KR 101998943B1 KR 1020170009829 A KR1020170009829 A KR 1020170009829A KR 20170009829 A KR20170009829 A KR 20170009829A KR 101998943 B1 KR101998943 B1 KR 101998943B1
Authority
KR
South Korea
Prior art keywords
power level
power
substrate
signal
plasma
Prior art date
Application number
KR1020170009829A
Other languages
English (en)
Other versions
KR20170087426A (ko
Inventor
히로토 오타케
타쿠야 모리
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170087426A publication Critical patent/KR20170087426A/ko
Application granted granted Critical
Publication of KR101998943B1 publication Critical patent/KR101998943B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32311Circuits specially adapted for controlling the microwave discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/10Ion sources; Ion guns
    • H01J49/105Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

기판을 에칭하는 방법이 기술된다. 이 방법은, 플라즈마 처리 시스템의 처리 공간 내의 제1 및 제2 물질에 노출되는 표면을 갖는 기판을 배치하는 단계와, 상기 제2 물질을 제거하는 속도보다 큰 속도로 제1 물질을 선택적으로 제거하도록 변조 플라즈마 에칭 공정을 실행하는 단계를 포함한다. 상기 변조 플라즈마 에칭 공정은, 상기 플라즈마 처리 시스템에 제1 전력 레벨로 고주파(RF) 신호를 인가하는 것과, 상기 플라즈마 처리 시스템에 제2 전력 레벨로 RF 신호를 인가하는 것과, 상기 플라즈마 처리 시스템에 제3 전력 레벨로 RF 신호를 인가하는 것을 포함하는 순차적 전력 인가 스텝을 갖는 전력 변조 사이클을 포함한다. 그 후, 전력 변조 사이클이 적어도 1 사이클 이상 반복되며, 각 변조 사이클은 변조 시간 주기를 갖는다.

Description

하이 애스펙스비의 피처를 에칭하기 위한 전력 변조{POWER MODULATION FOR ETCHING HIGH ASPECT RATIO FEATURES}
본 출원은 2016년 1월 20일에 출원된 미국 특허 가출원 제62/281,106호에 관련되고 이 가출원을 우선권으로 주장하며, 이 가출원의 전체 내용은 참조로서 본원 명세서에 포함된다.
본 발명은, 플라즈마를 사용하여 기판 상의 하나의 물질을 기판 상의 다른 물질에 대해 선택적으로 에칭하기 위한 방법에 관한 것이다.
반도체 디바이스들의 생산에서의 비용 및 성능에 있어서의 경쟁력을 유지할 필요성은, 집적 회로들의 디바이스 밀도에 있어서의 지속적인 증가를 유발해 왔다. 반도체 집적 회로에 있어서의 보다 높은 집적 및 소형화를 달성하기 위해, 반도체 웨이퍼 상에 형성되는 회로 패턴의 소형화가 달성되어야 한다.
플라즈마 에칭은, 리소그래피 마스크로부터 반도체 웨이퍼의 기저 층들에 기하학적 형상들 및 패턴들을 전사시킴으로써 반도체 집적 회로를 제조하기 위한 표준 기술이다. 증가하는 애스펙트비(aspect ratio)들 및 보다 복잡한 물질들로 인해, 선택도(selectivity) 및 프로파일 제어 요건들을 충족시키는 최첨단(state-of-the-art) 에칭 공정들에 대한 필요성이 점점 중대해지고 있다.
본 발명의 실시예들은, 플라즈마를 사용하여 기판 상의 하나의 물질을 기판 상의 다른 물질에 대해 선택적으로 에칭하기 위한 방법에 관한 것이다.
일 실시예에 따라, 기판을 에칭하는 방법이 기술된다. 방법은, 플라즈마 처리 시스템의 처리 공간 내의 제1 및 제2 물질에 노출되는 표면을 갖는 기판을 배치하는 단계와, 상기 제2 물질을 제거하는 속도보다 큰 속도로 제1 물질을 선택적으로 제거하도록 변조 플라즈마 에칭 공정을 실행하는 단계를 포함한다. 상기 변조 플라즈마 에칭 공정은, 상기 플라즈마 처리 시스템에 제1 전력 레벨로 무선 주파수(radio frequency; RF) 신호를 인가하는 것과, 상기 플라즈마 처리 시스템에 제2 전력 레벨로 RF 신호를 인가하는 것과, 상기 플라즈마 처리 시스템에 제3 전력 레벨로 RF 신호를 인가하는 것을 포함하는 순차적 전력 인가 스텝을 갖는 전력 변조 사이클을 포함한다. 그 후, 전력 변조 사이클이 적어도 1 사이클 이상 반복되며, 각 변조 사이클은 변조 시간 주기를 갖는다.
첨부된 도면들에서,
도 1a 및 도 1b는 기판 상의 패터닝 시퀀스의 개략적인 표현을 예시하고;
도 2는 실시예에 따른 기판을 에칭하는 방법을 예시하는 흐름도를 제공하며,
도 3은 실시예에 따른 전력 변조 사이클을 도시하고,
도 4는 실시예에 따른 플라즈마 처리 시스템의 개략적인 표현을 도시하며,
도 5는 다른 실시예에 따른 플라즈마 처리 시스템의 개략적인 표현을 도시하고,
도 6은 또 다른 실시예에 따른 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
이어지는 설명에서, 본원에서 사용되는 처리 시스템의 특정 기하구조(geometry), 다양한 컴포넌트들 및 공정들의 기술들과 같은, 설명의 목적들을 위한, 비제한적인, 특정한 상세사항들이 제시된다. 그러나, 이들 특정한 상세사항들로부터 벗어나는 다른 실시예들에서 본 발명이 실시될 수 있다는 점이 이해되어야 한다.
유사하게, 본 발명의 철저한 이해를 제공하기 위해, 설명의 목적들을 위한, 특정한 개수들, 물질들, 및 구성들이 제시된다. 그럼에도 불구하고, 본 발명은 특정한 세부사항들 없이 실시될 수 있다. 또한, 도면들에 도시된 다양한 실시예들이 예시적인 표현들이며 반드시 축척대로 도시되지는 않은 점이 이해되어야 한다.
다양한 동작들은 결국, 본 발명을 이해하는데 있어서 가장 도움이 되는 방식으로 다수의 개별적인 동작들로서 기술될 것이다. 그러나, 기술의 순서는 이들 동작들이 필수적인 종속적 순서임을 암시하는 것으로 해석되지 않아야 한다. 특히, 이들 동작들은 제시의 순서대로 수행될 필요가 없다. 기술된 동작들은 기술된 실시예와 상이한 순서로 수행될 수 있다. 다양한 추가적인 동작들이 수행될 수 있고/있거나 기술된 동작들이 추가적인 실시예들에서 생략될 수 있다.
본원에서 사용되는 바와 같은 "기판"은 일반적으로 본 발명에 따라 처리되는 대상(object)을 지칭한다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조물을 포함할 수 있고, 예를 들어 반도체 웨이퍼와 같은 기본 기판 구조물, 또는 박막과 같은, 기본 기판 구조물 상의 또는 기본 기판 구조물 위에 있는 층일 수 있다. 기판은 종래의 실리콘 기판 또는 반도체 물질의 층을 포함하는 다른 벌크 기판일 수 있다. 본원에서 사용되는 바와 같이, 용어 “벌크 기판”은 실리콘 웨이퍼들뿐만 아니라, 실리콘 온 사파이어(silicon-on-sapphire; "SOS") 기판들 및 실리콘 온 글래스(silicon-on-glass; "SOG") 기판들과 같은 실리콘 온 절연체(silicon-on-insulator; "SOI") 기판들, 기본 반도체 토대(foundation) 상의 실리콘의 에피택셜 층들, 및 실리콘 게르마늄, 게르마늄, 갈륨 비소, 갈륨 질화물 및 인듐 인과 같은 다른 반도체 또는 광전자(optoelectronic) 물질들을 의미하고 이들을 포함한다. 기판은 도핑될 수도 있고 도핑되지 않을 수도 있다. 따라서, 기판은 임의의 특정한 기본 구조물, 아래에 있는 층 또는 위에 있는 층, 패터닝되거나 또는 패터닝되지 않는 것에 제한되도록 의도되는 것은 아니고, 이보다는, 임의의 그러한 층 또는 기본 구조물, 및 층들 및/또는 기본 구조물들의 임의의 조합을 포함하도록 고려된다. 아래의 기술은 특정한 유형들의 기판들을 참조할 수 있지만, 이는 예시적인 목적만을 위한 것이며 제한적이지 않다.
패턴 에칭 동안, 건식 플라즈마 에칭 공정이 이용될 수 있고, 플라즈마는, 공정 가스의 전자들을 가열하고 이어서 원자 및/또는 분자 성분들의 이온화 및 해리(dissociation)를 유발하기 위해, 무선 주파수(RF) 전력과 같은 전자기(electro-magnetic; EM) 에너지를 공정 가스에 커플링함으로써 공정 가스로부터 형성된다. 또한, 전자기 에너지의 커플링은, 노출된 기판 표면 상에 입사하는 대전된 종(charged species)의 에너지 레벨을 제어하기 위해 사용될 수 있다. 대전된 종 밀도, 대전된 종 플럭스, 대전된 종 에너지, 화학적 플럭스 등을 포함하는 다양한 플라즈마 특성들의 제어를 통해, 본원에 기술된 실시예들에 따라 플라즈마 에칭 공정에 대한 원하는 최종 결과가 달성될 수 있다. 특히, 타겟 에칭 선택도 및 프로파일 제어를 달성하는 실시예들이 제공된다.
위에 기술된 바와 같이, 일반적으로 반도체 디바이스 제조에 이용되는 물질들은 변조된 플라즈마 에칭을 사용하여 서로에 대해 선택적으로 제거된다. 이제 몇몇 도면들 전반에 걸쳐 동일한 참조 번호들이 동일한 또는 대응하는 부분들을 지정하는 도면들을 참조하며, 도 1a, 도 1b, 및 도 2는 실시예에 따른 마이크로전자 워크피스 상의 물질을 에칭하기 위한 방법을 예시한다. 방법은 도 1a 및 도 1b에 그림으로(pictorially) 예시되며, 도 2 내의 흐름도(200)에 의해 제시된다. 도 2에 제시된 바와 같이, 흐름도(200)는 제1 물질(130) 및 제2 물질(140)에 노출되는 표면을 갖는 기판(110)을 플라즈마 처리 시스템의 처리 공간 내에 배치하는 단계(212)에서 시작한다.
도 1a에 도시된 바와 같이, 기판(110)은 에칭되거나 또는 패터닝될 하나 이상의 층들(120, 130)을 포함하는 막 스택(film stack) 위에 있는 패터닝된 층(140)을 포함할 수 있다. 패터닝된 층(140)은, 하나 이상의 추가적 층 위에 있는 개구(open) 피처 패턴(150)을 규정할 수 있다. 기판(110)은 디바이스 층들을 더 포함한다. 디바이스 층들은, 패턴이 전사되거나 또는 타겟 물질이 제거될 기판 상에 임의의 박막 또는 구조물을 포함할 수 있다.
층들(130 및 140)은, 반도체 디바이스들, 기전(electro-mechanical) 디바이스들, 광발전(photovoltaic) 디바이스들 등을 포함하는 전자 디바이스들의 제조에 이용되는 임의의 물질일 수 있다. 그러나, 하나의 층[예를 들어, 제1 물질의 층(130)]을 다른 층[예를 들어, 제2 물질의 층(140)]에 대해 선택적으로 에칭하기 위해, 2개의 층들의 물질 조성(composition)은 본질적으로 상이하여, 각 층은 에천트에 노출될 때 상이한 에칭 저항을 나타낸다. 층들(130, 140)은 유기 또는 무기 물질들일 수 있다. 층들(130, 140)은 실리콘 함유 물질, 게르마늄 함유 물질, 탄소 함유 물질, 또는 금속 함유 물질일 수 있다. 예를 들어, 실리콘 함유 물질들은 비정질 실리콘(amorphous silicon; a-Si), 다결정 실리콘(polycrystalline silicon; poly-Si), 단결정(single crystal) 실리콘, 도핑된 실리콘, 실리콘 산화물(silicon oxide; SiOx), 실리콘 질화물(silicon nitride; SiNy), 실리콘 탄화물(silicon carbide; SiCz), 실리콘 산화질화물(silicon oxynitride; SiOxNy), 실리콘 산화탄화물(silicon oxycarbide; SiOxCz), 실리콘 게르마늄 합금(silicon-germanium alloy; SixGe1 -x) 등을 포함할 수 있다. 금속 함유 물질들은 금속, 금속 합금, 전이(transition) 금속(예를 들어, Ti, Ta, W, Ru, Co, Ni, Hf 등), 전이 금속 산화물[예를 들어, 티타늄 산화물(titanium oxide; TiOx)], 전이 금속 질화물[예를 들어, 티타늄 질화물(titanium nitride; TiNy)], 탄화물들, 칼코겐화물(chalcogenide)들 등을 포함할 수 있다. 층들(130, 140)은 유기 레지스트들, 반사 방지(anti-reflective) 코팅들, 또는 평탄화 층들, 또는 실리콘 함유 레지스트들, 반사 방지 코팅들, 또는 다양한 정도의 실리콘 함량을 갖는 평탄화 층들을 포함할 수 있다. 위의 물질들은 기상 증착 기술들, 또는 스핀 온 퇴적 기술들을 사용하여 퇴적될 수 있다.
도 1b, 및 도 2의 도면부호 214에서, 하나 이상의 추가적 층 위에 있는 개구 피처 패턴(150)은, 제2 물질(140)을 제거하는 속도보다 큰 속도로 제1 물질(130)을 선택적으로 제거하도록 변조 플라즈마 에칭 공정을 수행함으로써 층(130) 내로 연장된다. 변조 플라즈마 에칭 공정은 순차적 전력 인가 스텝들을 갖는 전력 변조 사이클을 포함한다. 순차적 전력 인가 스텝들은 무선 주파수(RF) 신호를 플라즈마 처리 시스템에 제1 전력 레벨로 인가하는 스텝과, RF 신호를 플라즈마 처리 시스템에 제2 전력 레벨로 인가하는 스텝과, RF 신호를 플라즈마 처리 시스템에 제3 전력 레벨로 인가하는 스텝을 포함하고, 제1 전력 레벨, 제2 전력 레벨, 및 제3 전력 레벨은 값에 있어서 서로 상이하다. 에칭 공정을 완료하여 타겟 사양들을 충족시키는 것이 필요함에 따라, 변조 사이클은 적어도 1 사이클 이상 반복되며, 각 변조 사이클은 변조 시간 주기를 포함한다. 변조 사이클은 주기적 변조 사이클을 포함할 수 있다.
이제 도 3을 참조하면, 변조 플라즈마 에칭 공정(300)이 예시된다. 일 실시예에서, 변조 플라즈마 에칭 공정(300)은, 기판이 그 위에 위치되는 기판 홀더 또는 서셉터(susceptor)에 전달되는 무선 주파수(RF) 전력의 변조를 포함한다. 기판 홀더는, (아래에 기술될) 용량성 커플링 엘리먼트 또는 유도성 커플링 엘리먼트와 같은 RF 전력형(powered) 전극을 향해 기판을 위치시킬 수 있다. 대안적으로, 기판 홀더는 슬롯형(slotted) 평면 안테나를 향해 기판을 위치시킬 수 있고, 마이크로파 주파수에서의 전력은 예를 들어 슬롯형 평면 안테나에 커플링된다. 예시적인 시스템들이 도 4 내지 도 6에 도시된다. 기판 홀더 또는 서셉터에 전달되는 RF 전력의 변조가 기술되는 반면, RF 전력은 대안적으로 플라즈마 처리 시스템 내의 다른 전력 커플링 엘리먼트들에 커플링될 수 있다.
변조 플라즈마 에칭 공정은, 제1 전력 레벨(P1)(312), 제2 전력 레벨(P2)(314), 및 제3 전력 레벨(P3)(316)을 갖는 변조 사이클(310)을 도시한다. 도 3에 도시된 바와 같이, 제1 전력 레벨(312)은 제2 전력 레벨(314)을 초과하고, 제2 전력 레벨(314)은 제3 전력 레벨(316)을 초과한다. 제3 전력 레벨(316)은 전력 오프 상태, 또는 비교적 낮은 전력 상태를 포함할 수 있다. 일 실시예에 따라, 전력 레벨 시퀀스의 순서가 도 3에 도시되지만, 전력 레벨 시퀀스는 상이할 수 있다(예를 들어, P3-P2-P1, P2-P3-P1, P2-P1-P3 등).
제2 전력 레벨(314)은 제1 전력 레벨(312)의 20% 내지 80%의 범위일 수 있다. 대안적으로, 제2 전력 레벨(314)은 제1 전력 레벨(312)의 40% 내지 60%의 범위일 수 있다. 제3 전력 레벨(316)은 제2 전력 레벨(314)의 0% 내지 50%의 범위일 수 있다. 대안적으로, 제3 전력 레벨(316)은 제2 전력 레벨(314)의 0% 내지 20%의 범위일 수 있다.
도 3에 도시된 바와 같이, 변조 사이클(310)은 주기적 변조 사이클을 포함할 수 있다. 변조 사이클(310)은 1 Hz 내지 100 kHz의 범위인 변조 주파수를 포함할 수 있다. 대안적으로, 변조 주파수는 5 Hz 내지 50 Hz의 범위일 수 있다. 또한 대안적으로, 변조 주파수는 1 kHz 이상일 수 있다. RF 신호를 제1 RF 전력 레벨로 인가하는 것은 변조 사이클(310)의 시간 주기의 5% 내지 20%의 범위(도면부호 322로서 표시됨)일 수 있다. RF 신호를 제2 RF 전력 레벨(314)로 인가하는 것은 변조 사이클의 시간 주기의 30% 내지 50%의 범위(도면부호 324로서 표시됨)일 수 있다. RF 신호를 제3 RF 전력 레벨(316)로 인가하는 것은 변조 사이클의 시간 주기의 30% 내지 60%의 범위(도면부호 326로서 표시됨)일 수 있다.
발명자들은, 층(130)(도 1a 및 도 1b)의 에칭 동안의 또는 층(130)의 에칭 이후의 준비 또는 층(130)의 에칭 동안의 스텝들에서, 제1 전력 레벨(312)이 ‘브레이크 스루(break-through)’ 패시베이팅 층들(예를 들어, 자연 산화물 등), 반응 부산물, 잔류물 등에 사용될 수 있다고 추정한다. 발명자들은 또한, 제2 전력 레벨(314)이 층(130)을 [층(140)에 대해] 선택적으로 에칭하고, 다양한 표면들을 선택적으로 패시베이팅하여 선택비 및 프로파일 제어에 영향을 주기 위해 사용될 수 있다고 추정한다. 발명자들은 또한, 제3 전력 레벨(316)이 공정 공간으로부터의 부산물을 배출시키고/배출시키거나 제거하기 위해 사용될 수 있다고 추정한다.
변조 플라즈마 에칭 공정 동안, 변조 사이클의 적어도 하나의 특성이 조정될 수 있다. 적어도 하나의 특성은 [가스 유동(flow), 소스 및/또는 바이어스 전력 등과 같은 다른 변조 특성들에 대한] 전력 진폭, 변조 주파수, 변조 듀티 사이클, 변조 파형, 또는 변조 위상을 포함할 수 있다.
일 실시예에서, 변조 플라즈마 에칭 공정은 공정 파라미터 공간을 포함할 수 있고, 공정 파라미터 공간은 약 1000 mtorr(millitorr)까지의(예를 들어, 약 200 mtorr까지의, 또는 약 50 mtorr 내지 150 mtorr까지의) 범위의 챔버 압력, 약 2000 sccm(standard cubic centimeters per minute)까지의(예를 들어, 약 1000 sccm까지의, 또는 약 1 sccm 내지 약 200 sccm) 범위의 할로겐 함유 가스 유동률, 약 2000 sccm까지의(예를 들어, 약 1000 sccm까지의, 또는 약 1 sccm 내지 약 100 sccm) 범위의 중합(polymerizing) 가스 유동률, 약 2000 sccm까지의(예를 들어, 약 1000 sccm까지의) 범위의 선택적 비활성(noble) 가스(예를 들어, He 또는 Ar), 약 2000 내지 5000 W(watts)까지의(예를 들어, 약 1000 W까지의, 또는 약 600 W까지의) 범위의 상단(upper) 전극/안테나 전력, 및 약 1000 내지 2000 W까지의(예를 들어, 약 600 W까지의, 또는 약 100 W까지의, 또는 50 W까지의) 범위의 보다 낮은 전극 전력을 포함한다. 또한, 상단 전극/안테나 주파수는 약 0.1 MHz 내지 약 3 GHz의 범위일 수 있다. 또한, 보다 낮은 전극 RF 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위, 예를 들어 약 2 Mhz일 수 있다.
위에 기술된 기판을 에칭하기 위한 방법들 중 하나 이상의 방법은, 도 4 내지 도 6에 도시된 시스템들 중 하나의 시스템과 같은 플라즈마 처리 시스템을 이용하여 수행될 수 있다. 그러나, 논의되는 방법들은 범위에 있어서 이 예시적인 제시에 의해 제한되지는 않는다. 위에 기술된 다양한 실시예들에 따른 기판을 에칭하는 방법은 아래에 구체적으로 기술되지 않은 다른 플라즈마 처리 시스템들에서 수행될 수 있다. 또한, 도 4 내지 도 6에 기술된 다양한 컴포넌트는 기술되지 않은 다른 컴포넌트에 의해 이용되거나, 대체되거나, 또는 보완될 수 있다. 다양한 전자기 주파수의 하나 이상의 RF 또는 마이크로파 전원들이 기술되는 반면, 기판(w) 위의, 아래의, 주위의 다수의 소스들이 고려된다.
도 4는 본원의 실시예들에 따른 마이크로파 플라즈마 처리 장치의 개략적인 단면도이다. 마이크로파 플라즈마 처리 장치는, 예를 들어 평면, 플레이트형 슬롯 안테나를 사용하여 마이크로파 주파수들에서의 표면파(surface wave) 플라즈마 여기(excitation)를 통해, 플라즈마 에칭, 플라즈마 강화 화학적 기상 증착(plasma enhanced chemical vapor deposition; PECVD), 플라즈마 강화 원자 층 증착(plasma enhanced atomic layer deposition; PEALD) 등과 같은 플라즈마 처리를 수행하도록 구성될 수 있다. 플라즈마 처리는, 알루미늄 또는 스테인리스강(stainless steel)과 같은 기계 또는 주조(cast) 금속으로 구성되는 실린더형 진공 챔버일 수 있는 처리 챔버(401) 내에서 실행될 수 있다. 처리 챔버(401)는, 예를 들어 접지 와이어(402)를 사용하여 전기적으로 접지된다. 처리 챔버(401)는, 플라즈마 생성을 위한 공정 공간(PS)을 제공하는 처리 베셀(vessel)을 규정한다. 처리 베셀의 내측 벽은 알루미나(alumina), 이트리아(yttria), 또는 다른 보호제(protectant)와 같은 보호 배리어로 코팅될 수 있다.
처리 챔버(401) 내의 하단, 중앙 영역에서, (디스크 형상일 수 있는) 서셉터(412)는, 예를 들어 (반도체 웨이퍼와 같은) 처리될 기판(W)이 그 위에 장착될 수 있는 장착 테이블로서 역할할 수 있다. 기판(W)은 적재(loading)/하적(unloading) 포트(437) 및 게이트 밸브(427)를 통해 처리 챔버(401) 내로 이동될 수 있다. 서셉터(412)의 최상면 상에 정전 척(electrostatic chuck)(436)이 제공된다. 클램프(clamp) 전극(435)이 DC(직류) 전원(439)에 전기적으로 연결된다. 정전 척(436)은, DC 전원(439)으로부터의 DC 전압이 클램프 전극(435)에 인가될 때 생성되는 정전력을 통해 기판(W)을 끌어당겨서(attract), 기판(W)이 서셉터(412) 상에 단단히 장착된다.
RF(무선 주파수) 바이어스를 인가하기 위한 고주파(high-frequency) 전원(429)은 서셉터(412)에, 또는 (임피던스를 정합하거나 또는 반사되는 전력을 최소화하기 위한) 임피던스 정합 유닛(428) 및 전력 보급 로드(feeding rod)(424)를 통해 바이어스 전극에 전기적으로 연결된다. 고주파 전원(429)은, 예를 들어 0.2 MHz 내지 20 MHz 범위의, 예를 들어 13.56 MHz의 고주파 전압을 출력할 수 있다. 고주파 바이어스 전력을 인가하는 것은 처리 챔버(401) 내의 플라즈마에 의해 생성된 이온들을 기판(W)으로 끌어당긴다. 전원(429)은 위에 기재된 변조 사이클에 따라 전원(429)으로부터 출력되는 전력 및 진폭을 변조하기 위한 증폭기 및 신호 생성기를 포함할 수 있다. 포커스 링(438)은 기판(W)을 둘러싸도록 정전 척(436) 외측에 방사상으로(radially) 제공된다.
냉각제(coolant) 유동 경로(444)는, 예를 들어 서셉터(412) 내에서 원주(circumferential) 방향으로 연장될 수 있고, 정전 척(436) 상의 기판(W)의 처리 온도를 제어하는 것을 지원하기 위해, 순환된 냉각제를 수용하도록 구성될 수 있다. 추가적으로, 열 전달 가스 공급 유닛(도시 생략)으로부터의 열 전달 가스는 가스 공급 라인(445)을 통해 정전 척(436)의 최상면과 기판(W)의 후면 사이의 공간에 공급될 수 있다.
배출 경로(433)는, 지지 유닛(414) 및/또는 도전성 지지 유닛(416)의 외측 주변부, 고리형 배플(annular baffle) 플레이트(434)가 배출 경로(433)의 최상부 또는 주입구(inlet)에 부착되는 처리 챔버(401)의 내측 벽, 및 배출 경로(433)의 바닥 부분 내에 제공되는 배출 포트(432)(또는 다수의 배출 포트들)를 따라 형성될 수 있다. 가스 배출 유닛(430)은, 다수의 배출 라인들을 가질 수 있는 가스 배출 라인(431)을 통해 각 배출 포트(432)에 연결된다. 가스 배출 유닛(430)은, 처리 챔버(401) 내의 플라즈마 처리 공간을 원하는 진공 상태로 감압(decompress)하도록 구성되는 터보 분자 펌프와 같은 진공 펌프를 포함할 수 있다.
마이크로파 플라즈마 처리 장치의 상단 부분이 이제 기술될 것이다. 유전체 윈도우(457)는, 이를 통해 마이크로파 주파수들에서의 전자기 방사선이 공정 공간(PS)에 전파될 수 있는 처리 챔버(401)의 상단 부분을 밀폐하도록 배열된다. 처리 챔버(401) 내의 유전체 윈도우(457) 바로 아래에 있는 공간은, 공정 공간(PS)으로서의 플라즈마 생성 공간으로서 역할한다. 유전체 윈도우(457)는 알루미늄 산화물을 포함하는 쿼츠 또는 세라믹과 같은 마이크로파 투과가능(microwave-permeable) 유전체 물질로 이루어질 수 있고, 예를 들어 약 20 mm(millimeter)의 두께 또는 처리 챔버(401)의 내부와 주변 환경 사이의 압력차를 기계적으로 견디기에 충분한 두께를 가질 수 있다. 유전체 윈도우(457)에는, 유전체 윈도우(457)의 최상면에 부착되거나, 유전체 윈도우(457)의 최상면 상에 배치되는 컨덕터일 수 있는 슬롯 플레이트(454)가 제공될 수 있다. 슬롯 플레이트(454)는, 다른 기하학적 구성들이 사용될 수 있지만, 회전 대칭(rotationally symmetric) 배열로 동심으로(concentrically) 분배되는 마이크로파들을 조사하도록 구성되는 복수의 슬롯 쌍들을 가질 수 있다. 슬롯 플레이트(454) 상에서, 유전체 플레이트(456)는 슬롯 플레이트(454) 내부로 전파되는 마이크로파들의 파장을 단축시킬 수 있다. 슬롯 플레이트(454)는 마이크로파 전송 라인(458)에 전자기적으로 커플링된다. 평면 플레이트형 슬롯 안테나, 예를 들어 또는 디스크 형상, 방사 라인 슬롯 안테나일 수 있는 슬롯 안테나(455)는, 슬롯 플레이트(454), 유전체 플레이트(456), 및 슬롯 플레이트(454)에 대향하여 제공되는 안테나 후방 플레이트(도시 생략)를 포함할 수 있다.
마이크로파 전송 라인(458)은, 미리 정해진 전력 레벨로 마이크로파 생성기(460)로부터 슬롯 안테나(455)에 출력되는 마이크로파 주파수들 또는 다른 주파수들에서의 전자기 파들, 예를 들어 2.45 GHz의 마이크로파들을 전파하거나 또는 전송하도록 구성되는 라인이다. 마이크로파 전송 라인(458)은 도파관(462), 도파관 동축(waveguide-coaxial) 라인 변환기(464), 및 동축 라인(466)을 포함할 수 있다. 도파관(462)은, 예를 들어 마이크로파 생성기(460)로부터 도파관 동축 라인 변환기(464)로 마이크로파들을 전송하도록 구성되는 직사각형(rectangular) 도파관일 수 있다. 동축 라인(466)은 도파관 동축 라인 변환기(464)로부터 처리 챔버(401)의 최상단의 중앙 부분으로 연장되고, 동축 라인(466)의 종단(terminal end)은 유전체 플레이트(456)를 통해 슬롯 안테나(455)에 커플링된다. 외측 컨덕터(469) 및 내측 컨덕터(468)는 파(wave) 전송을 위한 공간을 규정할 수 있다. 내측 컨덕터(468)의 하단부에 커넥터 유닛(479)이 연결된다.
또한, 전자기 파들이 유전체 플레이트(456)를 통해 방사상으로 전파됨에 따라, 파장이 단축되고, 파 모드는 처리 챔버(401)의 내부를 향해 방사(radiate)되는 슬롯 안테나(455)의 각 슬롯 쌍으로부터의 2개의 직교 편광 성분들을 갖는 원형(circular) 편광의 평면 파들로 전이한다. 유전체 윈도우(457)의 표면의 부근 내의 공정 가스는 이어서 유전체 윈도우(457)의 표면을 따라 방사 방향으로 전파되는 표면 파들의 전계(electric field)에 의해 이온화되고, 그 결과로서 고밀도 및 저전자 온도 플라즈마가 생성된다.
유전체 플레이트(456)는, 처리 챔버(401)의 최상단을 커버하기 위한 안테나 후방 플레이트로서 역할할 수 있는 냉각 재킷(cooling jacket) 플레이트(442)를 포함할 수 있다. 냉각 재킷 플레이트(442)는, 유전체 윈도우(457) 및 유전체 플레이트(456)로부터 생성되는 유전 손실의 열[방열(radiating)]을 흡수하도록 구성될 수 있다. 냉각을 제공하기 위해, 냉각제는 유동 경로(443) 내에서 순환되고 도관(conduit)(446) 및 도관(448)을 통해 제공되고 제거될 수 있다.
마이크로파 플라즈마 처리 장치는 공정 가스 도입을 위한 2개의 루트들을 포함할 수 있다. 처리 가스를 처리 챔버(401) 내로 도입하도록 구성되는 가스 도입 메커니즘으로서, 상단 가스 도입 섹션(481)은 유전체 윈도우(457) 내에 제공되는 가스 유동 경로를 포함하고, 측부 가스 도입 섹션(487)은 처리 챔버(401)의 측벽 내에 제공되는 가스 유동 경로를 포함한다.
상단 가스 도입 섹션(481)에서, 가스 유동 경로(488)는 내측 컨덕터(468)의 내부를 통해 축 방향으로 연장되도록 동축 라인(466)의 내측 컨덕터(468) 내에 제공된다. 추가적으로, 공정 가스 공급 시스템(480)으로부터의 제1 가스 공급 라인(484)은, 내측 컨덕터(468)의 상단부 및 동축 라인(466)의 가스 유동 경로(488)에 연결된다. 커넥터 유닛(479)은, 공동 주입구로부터 방사상으로 분기되고 보링(boring)된 복수의 내부 유동 경로들을 가질 수 있다. 커넥터 유닛(479)은 컨덕터로 이루어질 수 있고, 전기적으로 접지될 수 있다. 유전체 윈도우(457)는, 가령 공정 가스가 유전체 윈도우(457)를 통해 수직으로 통과하여 처리 챔버(401) 내의 플라즈마 생성 공간을 향하는, 분기된 가스 공급 경로들의 종단들에 연결되는 내측 유동 경로들과 함께 형성될 수 있다.
상단 가스 도입 섹션(481)에서, 미리 정해진 압력으로 공정 가스 공급 시스템(480)으로부터 연통되는 처리 가스(예를 들어, 에칭 가스 또는 막 형성 가스)는 제1 가스 공급 라인(484), 동축 라인(466)의 가스 유동 경로(488)를 통해 유동하고, 종단에서 에칭 가스 분출(jet) 포트(453)로부터 분사된다. 질량 유동 제어기(mass flow controller; MFC)(486) 및 대응하는 밸브는 제1 가스 공급 라인(484)에서의 공정 가스 유동을 개폐(opening/closing)하고 계량(metering)하기 위해 사용될 수 있다.
측부 가스 도입 섹션(487)은 유전체 윈도우(457)의 바닥면보다 낮은 위치에 위치되고, 버퍼 챔버(489)[매니폴드(manifold)], 측벽 가스 분출 포트들(459), 및 공정 가스 공급 시스템(480)으로부터 버퍼 챔버(489)로 연장되는 제2 가스 공급 라인(485)을 포함할 수 있다. 질량 유동 제어기(483) 및 대응하는 밸브는 제2 가스 공급 라인(485)에서의 공정 가스 유동을 개폐하고 계량하기 위해 사용될 수 있다. 측부 가스 도입 섹션(487)로부터의 공정 가스는, 각각의 측벽 가스 분출 포트들(459)로부터 실질적으로 수평 유동으로 분출되어 공정 공간(PS) 내에 확산될 수 있다.
플라즈마 처리 장치의 컴포넌트들은, 결국 대응하는 저장 유닛(452) 및 사용자 인터페이스(451)에 연결될 수 있는 제어 유닛(450)에 연결되고 제어 유닛(450)에 의해 제어될 수 있다. 제어 유닛(450)은, 예를 들어 가스 배출 유닛(430), 고주파 전원(429), 정전 척(436)을 위한 DC 전원(439), 마이크로파 생성기(460), 상단 가스 도입 섹션(481), 측부 가스 도입 섹션(487), 공정 가스 공급 시스템(480), 및 열 전달 가스 공급 유닛(도시 생략)과 같은, 마이크로파 플라즈마 처리 장치 내의 컴포넌트들 각각의 동작 또는 전체 장치의 동작들을 제어하도록 구성되는 마이크로컴퓨터를 포함할 수 있다. 다양한 플라즈마 처리 동작들이 사용자 인터페이스(451)를 통해 실행될 수 있고, 다양한 플라즈마 처리 레시피들 및 동작들이 저장 유닛(452) 내에 저장될 수 있다. 따라서, 주어진 기판은 플라즈마 처리 챔버 내에서 다양한 마이크로제조 기술들로 처리될 수 있다.
도 5는 본원의 실시예들에 따른 용량성으로 커플링된 플라즈마 처리 장치의 개략적인 단면도이다. 이 장치는 애싱(ashing), 에칭, 퇴적, 클리닝, 플라즈마 중합(polymerization), 플라즈마 강화 화학적 기상 증착(PECVD) 등을 포함하는 다수의 동작들을 위해 사용될 수 있다. 플라즈마 처리는, 알루미늄 또는 스테인리스강과 같은 금속으로 구성되는 진공 챔버일 수 있는 처리 챔버(501) 내에서 실행될 수 있다. 처리 챔버(501)는, 예를 들어 접지 와이어(502)를 사용하여 접지된다. 처리 챔버(501)는, 플라즈마 생성을 위한 공정 공간(PS)을 제공하는 처리 베셀을 규정한다. 처리 베셀의 내측 벽은 알루미나, 이트리아, 또는 다른 보호제로 코팅될 수 있다. 처리 베셀은 형상에 있어서 실린더형일 수 있거나, 다른 기하학적 구성들을 가질 수 있다.
처리 챔버(501) 내의 하단, 중앙 영역에서, (디스크 형상일 수 있는) 서셉터(512)는, 예를 들어 (반도체 웨이퍼와 같은) 처리될 기판(W)이 그 위에 장착될 수 있는 장착 테이블로서 역할할 수 있다. 기판(W)은 적재/하적 포트(537) 및 게이트 밸브(527)를 통해 처리 챔버(501) 내로 이동될 수 있다. 서셉터(512)는, 기판(W)을 그 위에 장착하기 위한 장착 테이블로서 역할하는 제2 전극의 예시로서, 하단 전극(520)(하단 전극 어셈블리)의 일부를 형성한다. 구체적으로, 서셉터(512)는 절연 플레이트(517)를 통해 처리 챔버(501)의 바닥 부분의 실질적으로 중앙 영역에 제공되는 서셉터 지지부(515)로 지지된다. 서셉터 지지부(515)는 실린더형일 수 있다. 서셉터(512)는, 예를 들어 알루미늄 합금으로 형성될 수 있다.
서셉터(512)에는, 기판(W)을 홀딩하기 위한 (하단 전극 어셈블리의 일부로서의) 정전 척(536)이 제공될 수 있다. 정전 척(536)에는 클램프 전극(535)이 제공된다. 클램프 전극(535)은 DC(직류) 전원(539)에 전기적으로 연결된다. 정전 척(536)은, DC 전원(539)으로부터의 DC 전압이 클램프 전극(535)에 인가될 때 생성되는 정전력을 통해 기판(W)을 끌어당겨서, 기판(W)이 서셉터(512) 상에 단단히 장착된다. RF(무선 주파수) 바이어스를 인가하기 위한 고주파 전원(529)은 서셉터(512)에, 또는 (임피던스를 정합하거나 또는 반사되는 전력을 최소화하기 위한) 임피던스 정합 유닛(528)을 통해 바이어스 전극에 전기적으로 연결된다. 고주파 전원(529)(제2 전원)은, 예를 들어 0.2 MHz 내지 20 MHz 범위의 고주파 전압을 출력할 수 있다. 고주파 바이어스 전력을 인가하는 것은 처리 챔버(501) 내의 플라즈마에 의해 생성된 이온들을 기판(W)으로 끌어당긴다. 전원(529)은 위에 기재된 변조 사이클에 따라 전원(529)으로부터 출력되는 전력 및 진폭을 변조하기 위한 증폭기 및 신호 생성기를 포함할 수 있다. 포커스 링(538)은 기판(W)을 둘러싸도록 정전 척(536) 외측에 방사상으로 제공된다.
예를 들어, 실린더형일 수 있고 쿼츠로 형성될 수 있는 내측 벽 부재(519)는 서셉터 지지부(515) 및 정전 척(536)의 외측 주변 측부에 부착될 수 있다. 서셉터 지지부(515)는 [냉각된 또는 가열된 유체(fluid)를 유동시키기 위한] 냉각제 유동 경로(544)를 포함한다. 냉각제 유동 경로(544)는 처리 챔버(501) 외측에 설치되는 냉각 유닛(도시 생략)과 연통된다. 냉각제 유동 경로(544)에는 대응하는 라인들을 통해 순환하는 냉각제(물 또는 유전체 유체와 같은 냉각 또는 가열 액체)가 공급된다. 따라서, 서셉터(512) 상에/위에 장착되는 기판(W)의 온도가 정확하게 제어될 수 있다. 서셉터(512) 및 서셉터 지지부(515)를 통과하는 가스 공급 라인(545)은 정전 척(536)의 상단 표면에 열 전달 가스를 공급하도록 구성된다. 헬륨(H2)과 같은 열 전달 가스[또한 후측(backside) 가스로 알려짐]는, 기판(W)을 가열하는 것을 지원하기 위해 가스 공급 라인(545)을 통해 기판(W)과 정전 척(536) 사이에 공급될 수 있다.
배출 경로(533)는 내측 벽 부재(519)의 외측 주변부 및 처리 챔버(501)의 내측 측벽 표면을 따라 형성될 수 있다. 배출 경로(533)의 바닥 부분에 배출 포트(532)(또는 다수의 배출 포트들)가 제공된다. 가스 배출 유닛(530)은 가스 배출 라인(531)을 통해 각 배출 포트에 연결된다. 가스 배출 유닛(530)은, 처리 챔버(501) 내의 플라즈마 처리 공간을 원하는 진공 상태로 감압하도록 구성되는 터보 분자 펌프와 같은 진공 펌프를 포함할 수 있다. 가스 배출 유닛(530)은 처리 챔버(501)의 내부를 소개(evacuate)하여 그 내압(inner pressure)을 원하는 정도의 진공까지 감압한다.
상단 전극(570)(즉, 상단 전극 어셈블리)은, (예를 들어, 평행한 플레이트 전극들로서) 하단 전극(520)을 향하도록 하단 전극(520)의 수직으로 위에 위치되는 제1 전극의 예시이다. 플라즈마 생성 공간, 또는 공정 공간(PS)은 하단 전극(520)과 상단 전극(570) 사이에 규정된다. 상단 전극(570)은, 예를 들어 디스크 형상을 갖는 내측 상단 전극(571), 및 예를 들어 내측 상단 전극(571)의 주변을 둘러싸는 고리 형상을 갖는 외측 상단 전극(572)을 포함할 수 있다. 내측 상단 전극(571)은 또한, 하단 전극(520) 상에 장착되는 기판(W) 위의 공정 공간(PS) 내에 특정한 양의 처리 가스를 주입하기 위한 처리 가스 주입구로서 기능한다. 상단 전극(570)은 따라서 샤워 헤드를 형성한다.
보다 구체적으로, 내측 상단 전극(571)은 가스 주입 개구부들(582)을 갖는 (일반적으로 원형인) 전극 플레이트(575)를 포함한다. 내측 상단 전극(571)은 또한, 전극 플레이트(575)의 상단측을 분리가능하게(detachably) 지지하는 전극 지지부(578)를 포함한다. 전극 지지부(578)는 [전극 플레이트(575)가 형상에 있어서 원형으로서 구현될 때] 전극 플레이트(575)와 실질적으로 동일한 직경을 갖는 디스크의 형상으로 형성될 수 있다. 대안적인 실시예들에서, 전극 플레이트(575)는 정사각형, 직사각형, 다각형 등일 수 있다. 전극 플레이트(575)는, Si, SiC, 도핑된 Si, 알루미늄 등과 같은 컨덕터 또는 반도체 물질로 형성될 수 있다. 전극 플레이트(575)는 상단 전극(570)과 일체화될 수 있거나, 또는 주어진 플레이트를 표면 부식(erosion) 이후에 교체하는 편의를 위해 전극 지지부(578)에 의해 분리가능하게 지지될 수 있다. 상단 전극(570)은 또한, 전극 플레이트(575)의 온도를 제어하기 위한 냉각 플레이트 또는 냉각 메커니즘(도시 생략)을 포함할 수 있다.
전극 지지부(578)는 예를 들어 알루미늄으로 형성될 수 있고, 버퍼 챔버(589)를 포함할 수 있다. 버퍼 챔버(589)는 공정 가스를 확산시키기 위해 사용되고 디스크 형상 공간을 규정할 수 있다. 공정 가스 공급 시스템(580)으로부터의 처리 가스는 상단 전극(570)에 가스를 공급한다. 공정 가스 공급 시스템(580)은, 기판(W) 상의 막 형성, 에칭 등과 같은 특정 공정들을 수행하기 위한 처리 가스를 공급하도록 구성될 수 있다. 공정 가스 공급 시스템(580)은 처리 가스 공급 경로를 형성하는 가스 공급 라인(584)과 연결된다. 가스 공급 라인(584)은 내측 상단 전극(571)의 버퍼 챔버(589)에 연결된다. 처리 가스는 이어서 버퍼 챔버(589)로부터 그 하단 표면에 있는 가스 주입 개구부들(582)로 이동할 수 있다. 버퍼 챔버(589) 내로 도입되는 처리 가스의 유동률은, 예를 들어 질량 유동 제어기를 사용하여 조정될 수 있다. 또한, 도입되는 처리 가스는 전극 플레이트(575)(샤워헤드 전극)의 가스 주입 개구부들(582)로부터 공정 공간(PS)으로 균일하게 방출(discharge)된다. 내측 상단 전극(571)은 이어서 샤워헤드 전극 어셈블리를 제공하도록 부분적으로 기능한다.
내측 상단 전극(571)과 외측 상단 전극(572) 사이에 링 형상을 갖는 유전체(576)가 개재될 수 있다. 링 형상을 갖는 쉴드 부재일 수 있고, 예를 들어 알루미나로 형성되는 절연체(506)는, 외측 상단 전극(572)과 처리 챔버(501)의 내측 주변 벽 사이에 기밀(air tight) 방식으로 개재된다.
외측 상단 전극(572)은, 전력 보급기(feeder)(565), 상단 전력 보급 로드(561), 및 정합 유닛(566)을 통해 고주파 전원(560)(제1 고주파 전원)과 전기적으로 연결된다. 고주파 전원(560)은 40 MHz(megahertz) 또는 그 이상의(예를 들어, 60 MHz) 주파수를 갖는 고주파 전압을 출력할 수 있거나, 또는 3 MHz 내지 300 MHz의 주파수를 갖는 초단파(very high frequency; VHF) 전압을 출력할 수 있다. 이 전원은 바이어스 전력 공급기와 비교하여 메인 전력 공급기로서 칭해질 수 있다. 전력 보급기(565)는, 예를 들어 개구된 하단 표면을 갖는 실질적으로 실린더형 형상으로 형성될 수 있다. 전력 보급기(565)는 그 하단부 부분에 있는 외측 상단 전극(572)에 연결될 수 있다. 전력 보급기(565)는, 그 상단 표면의 중앙 부분에 있는 상단 전력 보급 로드(561)의 하단부 부분과 전기적으로 연결된다. 상단 전력 보급 로드(561)는 그 상단부 부분에 있는 정합 유닛(566)의 외측에 연결된다. 정합 유닛(566)은 고주파 전원(560)에 연결되고, 부하 임피던스를 고주파 전원(560)의 내부 임피던스와 정합시킬 수 있다. 그러나, 외측 상단 전극(572)이 선택적이며, 실시예들이 단일 상단 전극과 함께 기능할 수 있다는 점을 유념한다.
전력 보급기(565)는 그 외측 상에서, 직경이 처리 챔버(501)의 직경과 실질적으로 동일한 측벽을 갖는 실린더형일 수 있는 접지 컨덕터(567)에 의해 커버될 수 있다. 접지 컨덕터(567)는 그 하단부 부분에 있는 처리 챔버(501)의 측벽의 상단 부분에 연결된다. 상단 전력 보급 로드(561)는 접지 컨덕터(567)의 상단 표면의 중앙 부분을 관통한다. 절연 부재(564)는 접지 컨덕터(567)와 상단 전력 보급 로드(561) 사이의 접촉 부분에 개재된다.
전극 지지부(578)는 그 상단 표면 상에서 하단 전력 보급 로드(563)와 전기적으로 연결된다. 하단 전력 보급 로드(563)는 커넥터를 통해 상단 전력 보급 로드(561)에 연결된다. 상단 전력 보급 로드(561) 및 하단 전력 보급 로드(563)는, 고주파 전원(560)으로부터 상단 전극(570)에 고주파 전기 전력을 공급하기 위한 전력 보급 로드를 형성한다. 하단 전력 보급 로드(563) 내에 가변(variable) 캐패시터(562)가 제공된다. 가변 캐패시터(562)의 캐패시턴스를 조정함으로써, 고주파 전기 전력이 고주파 전원(560)으로부터 인가될 때, 내측 상단 전극(571) 바로 아래에 형성되는 전계 강도에 대한 외측 상단 전극(572) 바로 아래에 형성되는 전계 강도의 상대적 비율이 조정될 수 있다. 상단 전극(570)의 내측 상단 전극(571)은 저역 필터(low pass filter; LPF)(591)와 전기적으로 연결된다. LPF(591)는 고주파 전원(529)으로부터 접지로의 저주파(low frequency)들을 통과시키면서 고주파 전원(560)으로부터의 고주파들을 차단 또는 필터링한다. 하단 전극(520)의 일부를 형성하는 서셉터(512), 시스템의 하단 부분은 고역 필터(high pass filter; HPF)(592)와 전기적으로 연결된다. HPF(592)는 고주파 전원(560)으로부터 접지로의 고주파들을 통과시킨다.
플라즈마 처리 장치의 컴포넌트들은, 결국 대응하는 저장 유닛(552) 및 사용자 인터페이스(551)에 연결될 수 있는 제어 유닛(550)에 연결되고 제어 유닛(450)에 의해 제어될 수 있다. 다양한 플라즈마 처리 동작들이 사용자 인터페이스(551)를 통해 실행될 수 있고, 다양한 플라즈마 처리 레시피들 및 동작들이 저장 유닛(552) 내에 저장될 수 있다. 따라서, 주어진 기판은 플라즈마 처리 챔버 내에서 다양한 마이크로제조 기술들로 처리될 수 있다. 동작시에, 플라즈마 처리 장치는 처리 공간(PS) 내에 플라즈마를 생성하기 위해 상단 전극 및 하단 전극을 사용한다. 이 생성된 플라즈마는 이어서, 다양한 유형들의 플라즈마 에칭, 화학적 기상 증착과 같은 처리들, 글래스 물질의 처리, 및 박막 솔라 셀(solar cell)들, 다른 광발전 셀들 및 평면 패널 디스플레이들을 위한 유기/무기 플레이트들과 같은 대형 패널들의 처리 등으로 [처리될 임의의 물질 또는 기판(W)과 같은] 타겟 기판을 처리하기 위해 사용될 수 있다.
고주파 전원(560)으로부터 상단 전극(570)에 약 3 MHz 내지 300 MHz의 범위에 있는 고주파 전기 전력이 인가된다. 상단 전극(570)과 서셉터(512) 또는 하단 전극 사이에 고주파 전계가 생성된다. 공정 공간(PS)에 전달되는 처리 가스는 이어서 이온화되고 해리되어 반응성 플라즈마를 형성한다. 고주파 전원(529)으로부터 하단 전극을 형성하는 서셉터(512)에, 약 0.2 MHz 내지 20 MHz의 범위에 있는 저주파 전기 전력이 인가될 수 있다. 환언하면, 이중(dual) 또는 삼중(tri) 주파수 시스템이 사용될 수 있다. 그 결과로서, 플라즈마 내의 이온들은 충분한 에너지를 갖고 서셉터(512)를 향해 끌어당겨져서 이온 지원(assistance)을 통해 피처들을 이방성으로 에칭한다. 편의를 위해, 도 5가 상단 전극(570)에 전력을 공급하는 고주파 전원(560)을 도시한다는 점을 유념한다. 대안적인 실시예들에서, 고주파 전원(560)이 하단 전극(520)에 공급될 수 있다. 따라서, 메인 전력[동력(energizing) 전력] 및 바이어스 전력(이온 가속 전력) 둘 다가 하단 전극에 공급될 수 있다.
도 6은 본원의 실시예들에 따른 유도 결합 플라즈마 처리 장치의 개략적인 단면도이다. 이 장치는 애싱, 에칭, 퇴적, 클리닝, 플라즈마 중합, 플라즈마 강화 화학적 기상 증착(PECVD) 등을 포함하는 다수의 동작들을 위해 사용될 수 있다. 플라즈마 처리는, 알루미늄 또는 스테인리스강과 같은 금속으로 구성되는 진공 챔버일 수 있는 처리 챔버(601) 내에서 실행될 수 있다. 처리 챔버(601)는, 예를 들어 접지 와이어(602)를 사용하여 접지된다. 처리 챔버(601)는, 플라즈마 생성을 위한 공정 공간(PS)을 제공하는 처리 베셀을 규정한다. 처리 베셀의 내측 벽은 알루미나, 이트리아, 또는 다른 보호제로 코팅될 수 있다. 처리 베셀은 형상에 있어서 실린더형일 수 있거나, 다른 기하학적 구성들을 가질 수 있다.
처리 챔버(601) 내의 하단, 중앙 영역에서, (디스크 형상일 수 있는) 서셉터(612)는, 예를 들어 (반도체 웨이퍼와 같은) 처리될 기판(W)이 그 위에 장착될 수 있는 장착 테이블로서 역할할 수 있다. 기판(W)은 적재/하적 포트(637) 및 게이트 밸브(627)를 통해 처리 챔버(601) 내로 이동될 수 있다. 서셉터(612)는, 기판(W)을 그 위에 장착하기 위한 장착 테이블로서 역할하는 제2 전극의 예시로서, 하단 전극(620)(하단 전극 어셈블리)의 일부를 형성한다. 구체적으로, 서셉터(612)는 처리 챔버(601)의 바닥 부분의 실질적으로 중앙 영역에 제공되는 서셉터 지지부(625)로 지지된다. 서셉터 지지부(625)는 실린더형일 수 있다. 서셉터(612)는, 예를 들어 알루미늄 합금으로 형성될 수 있다.
서셉터(612)에는, 기판(W)을 홀딩하기 위한 (하단 전극 어셈블리의 일부로서의) 정전 척(636)이 제공될 수 있다. 정전 척(636)에는 클램프 전극(635)이 제공된다. 클램프 전극(635)은 DC(직류) 전원(639)에 전기적으로 연결된다. 정전 척(636)은, DC 전원(639)으로부터의 DC 전압이 클램프 전극(635)에 인가될 때 생성되는 정전력을 통해 기판(W)을 끌어당겨서, 기판(W)이 서셉터(612) 상에 단단히 장착된다.
서셉터(612)는 절연 프레임(613)을 포함할 수 있고 승강(elevation) 메커니즘을 포함할 수 있는 서셉터 지지부(625)에 의해 지지될 수 있다. 서셉터(612)는 기판(W)의 적재 및/또는 하적 동안 승강 메커니즘에 의해 수직으로 이동될 수 있다. 기밀 엔클로저로서 지지부(625)를 둘러싸도록 절연 프레임(613)과 처리 챔버(601)의 바닥 부분 사이에 벨로우즈(bellows)(626)가 배치될 수 있다. 서셉터(612)는, 온도 센서, 및 (냉각된 또는 가열된 유체를 유동시키기 위한) 냉각제 유동 경로, 기판(W)의 온도를 제어하기 위해 사용될 수 있는 세라믹 가열기 등(모두 도시 생략)과 같은 가열 유닛을 포함하는 온도 제어 메커니즘을 포함할 수 있다. 냉각제 유동 경로는 처리 챔버(601) 외측에 설치되는 냉각 유닛(도시 생략)과 연통된다. 냉각제 유동 경로에는 대응하는 라인들을 통해 순환하는 냉각제(물 또는 유전체 유체와 같은 냉각 또는 가열 액체)가 공급된다. 정전 척(636)을 둘러싸고 지향성 이온 충격(directional ion bombardment)을 지원하도록 서셉터(612)의 상단 표면 상에 포커스 링(도시 생략)이 제공될 수 있다.
서셉터(612)를 통과하는 가스 공급 라인(645)은 정전 척(636)의 상단 표면에 열 전달 가스를 공급하도록 구성된다. 헬륨(H2)과 같은 열 전달 가스(또한 후측 가스로 알려짐)는, 기판(W)을 가열하는 것을 지원하기 위해 가스 공급 라인(645)을 통해 기판(W)과 정전 척(636) 사이에 공급될 수 있다.
진공 펌프 등을 포함하는 가스 배출 유닛(630)은 가스 배출 라인(631)을 통해 처리 챔버(601)의 바닥 부분에 연결될 수 있다. 가스 배출 유닛(630)은, 주어진 플라즈마 처리 동작 동안 처리 챔버(601) 내의 플라즈마 처리 공간을 원하는 진공 상태로 감압하도록 구성되는 터보 분자 펌프와 같은 진공 펌프를 포함할 수 있다.
플라즈마 처리 장치는 윈도우(655)에 의해 안테나 챔버(603)와 처리 챔버(601)로 파티션화될 수 있다. 윈도우(655)는 쿼츠와 같은 유전체 물질, 또는 금속과 같은 도전성 물질일 수 있다. 윈도우(655)가 금속인 실시예들에 대해, 윈도우(655)는 처리 챔버(601), 예를 들어 절연체들(606)로부터 전기적으로 절연될 수 있다. 이 예시에서, 윈도우(655)는 처리 챔버(601)의 천장(ceiling)을 형성한다. 몇몇 실시예들에서, 윈도우(655)는 다수의 섹션들로 분할될 수 있고, 이들 섹션들은 선택적으로 서로 절연된다.
안테나 챔버(603)의 측벽(604)과 처리 챔버(601)의 측벽(607) 사이에, 처리 장치의 내측을 향해 돌출되는 지지 선반(shelf)(605)이 제공된다. 지지 부재(609)는 윈도우(655)를 지지하도록 역할하고, 또한 처리 가스를 공급하기 위한 샤워 하우징으로서 기능한다. 지지 부재(609)가 샤워 하우징으로서 역할할 때, 처리될 기판(W)의 작업(working) 표면에 평행한 방향으로 연장되는 가스 채널(683)이 지지 부재(609) 내부에 형성되고, 공정 가스를 공정 공간(PS) 내로 주입하기 위한 가스 주입 개구부(682)와 연통된다. 가스 공급 라인(684)은 가스 채널(683)과 연통되도록 구성된다. 가스 공급 라인(684)은 처리 챔버(601)의 천장을 통하는 유동 경로를 규정하고, 처리 가스 공급원, 밸브 시스템 및 대응하는 컴포넌트들을 포함하는 공정 가스 공급 시스템(680)에 연결된다. 따라서, 플라즈마 처리 동안, 주어진 공정 가스가 공정 공간(PS) 내로 주입될 수 있다.
안테나 챔버(603) 내에서, 고주파 안테나(662)(무선 주파수)는 윈도우(655)를 향하도록 윈도우(655) 위에 배치되고, 절연 물질로 이루어지는 스페이서들(667)에 의해 윈도우(655)로부터 이격될 수 있다. 고주파 안테나(662)는 나선형 형상으로 형성되거나 또는 다른 구성들로 형성될 수 있다.
플라즈마 처리 동안, 유도 전계를 생성하도록, 수 MHz 내지 수백 MHz 범위의, 예를 들어 13.56 MHz의 주파수를 갖는 고주파 전력이 고주파 전원(660)으로부터 전력 보급 부재들(661)을 통해 고주파 안테나(662)에 공급될 수 있다. 고주파 전원(660)에 정합 유닛(666)(임피던스 정합 유닛)이 연결될 수 있다. 이 예시에서의 고주파 안테나(662)는 대응하는 전력 보급 부분(664) 및 전력 보급 부재들(661)에 연결되는 전력 보급 부분(665)뿐만 아니라 특정한 안테나 구성에 따라 추가적인 전력 보급 부분들을 가질 수 있다. 전력 보급 부분들은 유사한 직경 거리 및 각도 간격으로 배열될 수 있다. 안테나 라인들은 전력 보급 부분(664) 및 전력 보급 부분(665)으로부터 외향으로(또는 안테나 구성에 따라 내향으로) 안테나 라인들의 단부 부분으로 연장될 수 있다. 안테나 라인들의 단부 부분들은 캐패시터들(668)에 연결될 수 있고, 안테나 라인들은 캐패시터들(668)을 통해 접지된다. 캐패시터들(668)은 하나 이상의 가변 캐패시터를 포함할 수 있다.
주어진 기판이 처리 챔버(601) 내에 장착되고, 하나 이상의 플라즈마 처리 동작이 실행될 수 있다. 고주파 전력을 고주파 안테나(662)에 인가함으로써, 처리 챔버(601) 내에 유도 전계가 생성되고, 가스 주입 개구부들(682)로부터 공급되는 처리 가스가 여기되어 유도 전계에 의해 가열된 전자들의 존재하에서 플라즈마를 형성한다. 플라즈마는 이어서 에칭, 애싱, 퇴적 등을 위한 공정들을 수행하는 것과 같이 주어진 기판을 처리하기 위해 사용될 수 있다.
RF(무선 주파수) 바이어스를 인가하기 위한 고주파 전원(629)은 서셉터(612)에, 또는 (임피던스를 정합하거나 또는 반사되는 전력을 최소화하기 위한) 임피던스 정합 유닛(628)을 통해 바이어스 전극에 전기적으로 연결된다. 고주파 전원(629)(제2 전원)은, 예를 들어 0.2 MHz 내지 20 MHz 범위의, 예를 들어, 3.2 MHz의 고주파 전압을 출력할 수 있다. 고주파 바이어스 전력을 인가하는 것은 처리 챔버(401) 내의 플라즈마에 의해 생성된 이온들을 기판(W)으로 끌어당긴다. 전원(629)은 위에 기재된 변조 사이클에 따라 전원(629)으로부터 출력되는 전력 및 진폭을 변조하기 위한 증폭기 및 신호 생성기를 포함할 수 있다.
플라즈마 처리 장치의 컴포넌트들은, 결국 대응하는 저장 유닛(652) 및 사용자 인터페이스(651)에 연결될 수 있는 제어 유닛(650)에 연결되고 제어 유닛(450)에 의해 제어될 수 있다. 다양한 플라즈마 처리 동작들이 사용자 인터페이스(651)를 통해 실행될 수 있고, 다양한 플라즈마 처리 레시피들 및 동작들이 저장 유닛(652) 내에 저장될 수 있다. 따라서, 주어진 기판은 플라즈마 처리 챔버 내에서 다양한 마이크로제조 기술들로 처리될 수 있다.
본 발명의 몇몇 실시예들만이 위에서 상세히 기술되었지만, 당업자는 본 발명의 신규한 교시들 및 이점들로부터 실질적으로 벗어나지 않고, 실시예들 내에서 많은 변형들이 가능하다는 점을 쉽게 이해할 것이다. 따라서, 모든 그러한 변형들은 본 발명의 범위 내에 포함되도록 의도된다.

Claims (21)

  1. 에칭 방법으로서,
    제1 물질 및 제2 물질에 노출되는 표면을 갖는 기판을 플라즈마 처리 시스템의 처리 공간 내에 배치하는 단계;
    상기 제2 물질을 제거하는 속도보다 큰 속도로 상기 제1 물질을 선택적으로 제거하기 위해 변조 플라즈마 에칭 공정을 수행하는 단계로서, 상기 변조 플라즈마 에칭 공정은,
    상기 제1 물질을 개구에 노출시키기 위해, 상기 제1 물질 상에 위치하는 잔여물, 반응 부산물 및 패시베이션층 중 적어도 하나를 관통하는 상기 개구를 형성하도록 무선 주파수(radio frequency; RF) 신호를 상기 플라즈마 처리 시스템에 제1 전력 레벨로 인가하는 스텝,
    상기 제1 물질의 적어도 일부를 선택적으로 제거하기 위해, 상기 RF 신호를 상기 플라즈마 처리 시스템에 제2 전력 레벨로 인가하는 스텝,
    상기 처리 공간을 퍼지하기 위해, 상기 RF 신호를 상기 플라즈마 처리 시스템에 제3 전력 레벨로 인가하는 스텝
    을 포함하는 순차적 전력 인가 스텝들을 갖는 전력 변조 사이클을 포함하는 것인, 상기 변조 플라즈마 에칭 공정을 수행하는 단계; 및
    상기 전력 변조 사이클 - 각 전력 변조 사이클은 변조 시간 주기를 포함함 - 을 적어도 1 사이클 이상 반복하는 단계를 포함하고,
    상기 제1 전력 레벨, 상기 제2 전력 레벨, 및 상기 제3 전력 레벨은 서로 상이한 값을 갖는 것인, 에칭 방법.
  2. 제1항에 있어서, 상기 전력 변조 사이클은 주기적 변조 사이클을 포함하는 것인, 에칭 방법.
  3. 제1항에 있어서, 상기 제1 전력 레벨은 상기 제2 전력 레벨을 초과하는 것인, 에칭 방법.
  4. 제2항에 있어서, 상기 제2 전력 레벨은 상기 제3 전력 레벨을 초과하는 것인, 에칭 방법.
  5. 제4항에 있어서, 상기 제3 전력 레벨은 전력 오프 상태를 포함하는 것인, 에칭 방법.
  6. 제4항에 있어서, 상기 제2 전력 레벨은 상기 제1 전력 레벨의 20% 내지 80%의 범위인 것인, 에칭 방법.
  7. 제4항에 있어서, 상기 제2 전력 레벨은 상기 제1 전력 레벨의 40% 내지 60%의 범위인 것인, 에칭 방법.
  8. 제4항에 있어서, 상기 제3 전력 레벨은 상기 제2 전력 레벨의 0% 내지 50%의 범위인 것인, 에칭 방법.
  9. 제4항에 있어서, 상기 제3 전력 레벨은 상기 제2 전력 레벨의 0% 내지 20%의 범위인 것인, 에칭 방법.
  10. 제2항에 있어서, 상기 전력 변조 사이클의 변조 주파수는 1 Hz 내지 100 kHz의 범위인 것인, 에칭 방법.
  11. 제10항에 있어서, 상기 변조 주파수는 5 Hz 내지 50 Hz의 범위인 것인, 에칭 방법.
  12. 제10항에 있어서, 상기 변조 주파수는 1 kHz 이상인 것인, 에칭 방법.
  13. 제1항에 있어서, 상기 RF 신호를 상기 제1 전력 레벨로 인가하는 스텝은 상기 전력 변조 사이클의 시간 주기의 5% 내지 20%의 범위인 것인, 에칭 방법.
  14. 제13항에 있어서, 상기 RF 신호를 상기 제2 전력 레벨로 인가하는 스텝은 상기 전력 변조 사이클의 시간 주기의 30% 내지 50%의 범위인 것인, 에칭 방법.
  15. 제13항에 있어서, 상기 RF 신호를 상기 제3 전력 레벨로 인가하는 스텝은 상기 전력 변조 사이클의 시간 주기의 30% 내지 60%의 범위인 것인, 에칭 방법.
  16. 제1항에 있어서, 상기 RF 신호는, 상기 기판이 그 위에 위치되는 기판 홀더에 인가되는 것인, 에칭 방법.
  17. 제16항에 있어서, 상기 기판 홀더는 상기 기판을 RF 전력(RF powered) 전극에 마주하게 위치시키는 것인, 에칭 방법.
  18. 제16항에 있어서, 상기 기판 홀더는 상기 기판을 슬롯형(slotted) 평면 안테나에 마주하게 위치시키는 것인, 에칭 방법.
  19. 제18항에 있어서, 상기 슬롯형 평면 안테나에 마이크로파 주파수에서의 전력이 커플링되는 것인, 에칭 방법.
  20. 제1항에 있어서, 단계적 방식으로 상기 제1 전력 레벨은 상기 제2 전력 레벨로 즉시 변경(transition)되고, 상기 제2 전력 레벨은 상기 제3 전력 레벨로 즉시 변경되는 것인, 에칭 방법.
  21. 제1항에 있어서, 상기 전력 변조 사이클은,
    상기 제1 물질을 상기 개구에 노출시키고 상기 제1 물질의 적어도 제1 부분을 선택적으로 제거하기 위해, 상기 제1 물질 상에 위치하는 잔여물, 반응 부산물 및 패시베이션층 중 적어도 하나를 관통하는 상기 개구를 형성하도록 상기 RF 신호를 상기 플라즈마 처리 시스템에 상기 제1 전력 레벨로 인가하는 스텝,
    상기 제1 물질의 적어도 제2 부분을 선택적으로 제거하기 위해, 상기 RF 신호를 상기 플라즈마 처리 시스템에 상기 제2 전력 레벨로 인가하는 스텝, 및
    상기 처리 공간을 퍼지하기 위해, 상기 RF 신호를 상기 플라즈마 처리 시스템에 상기 제3 전력 레벨로 인가하는 스텝
    을 포함하는 상기 순차적 전력 인가 스텝들을 갖는 것인, 에칭 방법.
KR1020170009829A 2016-01-20 2017-01-20 하이 애스펙스비의 피처를 에칭하기 위한 전력 변조 KR101998943B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662281106P 2016-01-20 2016-01-20
US62/281,106 2016-01-20

Publications (2)

Publication Number Publication Date
KR20170087426A KR20170087426A (ko) 2017-07-28
KR101998943B1 true KR101998943B1 (ko) 2019-07-10

Family

ID=59313911

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170009829A KR101998943B1 (ko) 2016-01-20 2017-01-20 하이 애스펙스비의 피처를 에칭하기 위한 전력 변조

Country Status (3)

Country Link
US (1) US10410873B2 (ko)
KR (1) KR101998943B1 (ko)
TW (1) TWI651753B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
KR102116474B1 (ko) * 2020-02-04 2020-05-28 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR20220003862A (ko) * 2020-07-02 2022-01-11 삼성전자주식회사 유도 결합형 플라즈마 처리 장치
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method
WO2022192016A1 (en) * 2021-03-10 2022-09-15 Lam Research Corporation Control of mask cd
KR20240091325A (ko) * 2021-11-03 2024-06-21 램 리써치 코포레이션 고 종횡비 플라즈마 에칭에서 금속 함유 표면들의 개질

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007503709A (ja) * 2003-08-22 2007-02-22 ラム リサーチ コーポレーション さまざまな周波数のrf電力の変調を用いた高アスペクト比エッチング
JP2013535074A (ja) * 2010-06-11 2013-09-09 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 基板プラズマ処理技術

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5632855A (en) * 1995-08-29 1997-05-27 Advanced Micro Devices Thermal oxide etch technique
US6084226A (en) * 1998-04-21 2000-07-04 Cem Corporation Use of continuously variable power in microwave assisted chemistry
US6589879B2 (en) * 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US7431857B2 (en) 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
JP2010118549A (ja) 2008-11-13 2010-05-27 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
US9472353B2 (en) * 2011-04-07 2016-10-18 Corning Incorporated Ultracapacitor with improved aging performance
JP6081176B2 (ja) * 2012-12-12 2017-02-15 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
KR20150087702A (ko) 2014-01-22 2015-07-30 삼성전자주식회사 플라즈마 발생 장치
JP6356615B2 (ja) * 2015-02-06 2018-07-11 東芝メモリ株式会社 半導体製造装置および半導体製造方法
US9788405B2 (en) * 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US10340123B2 (en) * 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007503709A (ja) * 2003-08-22 2007-02-22 ラム リサーチ コーポレーション さまざまな周波数のrf電力の変調を用いた高アスペクト比エッチング
JP2013535074A (ja) * 2010-06-11 2013-09-09 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 基板プラズマ処理技術

Also Published As

Publication number Publication date
TWI651753B (zh) 2019-02-21
US10410873B2 (en) 2019-09-10
US20170207099A1 (en) 2017-07-20
KR20170087426A (ko) 2017-07-28
TW201737294A (zh) 2017-10-16

Similar Documents

Publication Publication Date Title
JP6484665B2 (ja) 高アスペクト比フィーチャをエッチングするための多周波電力変調
KR101998943B1 (ko) 하이 애스펙스비의 피처를 에칭하기 위한 전력 변조
KR101676875B1 (ko) 플라즈마 처리 장치
US20170256393A1 (en) Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
EP1840937B1 (en) Plasma processing apparatus and plasma processing method
US6497783B1 (en) Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
JP2625072B2 (ja) 電磁rf結合を用いたプラズマ反応装置及びその方法
US20100101727A1 (en) Capacitively coupled remote plasma source with large operating pressure range
EP1973140A2 (en) Plasma species and uniformity control through pulsed VHF operation
WO2001080297A1 (fr) Appareil de traitement au plasma
WO2000001007A1 (fr) Procede de traitement au plasma
JP2006502556A (ja) 半導体ワークピースを処理するためのプラズマリアクタ
KR20180054495A (ko) 이중 주파수 표면파 플라즈마 소스
CN112740396A (zh) 高温rf加热器底座
KR20070098499A (ko) 플라즈마 처리용의 전극판 및 플라즈마 처리 장치
TW202004910A (zh) 用於低深寬比堆疊圖案化的方法和系統
KR102498944B1 (ko) 유기 재료들의 자가 제한 에칭을 수행하기 위한 프로세스
WO2000031787A1 (fr) Dispositif de gravure a sec et procede de gravure a sec
JP2003115400A (ja) 大面積ウェハー処理のプラズマ処理装置
JP3907444B2 (ja) プラズマ処理装置及び構造体の製造方法
JP4478352B2 (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
JP3530788B2 (ja) マイクロ波供給器及びプラズマ処理装置並びに処理方法
JP3732287B2 (ja) プラズマ処理装置
JP2003158117A (ja) ダメージのないウェハードライエッチングのプラズマ処理装置
KR20220035853A (ko) 에칭 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant