CN1906026B - 半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法 - Google Patents

半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法 Download PDF

Info

Publication number
CN1906026B
CN1906026B CN2004800407563A CN200480040756A CN1906026B CN 1906026 B CN1906026 B CN 1906026B CN 2004800407563 A CN2004800407563 A CN 2004800407563A CN 200480040756 A CN200480040756 A CN 200480040756A CN 1906026 B CN1906026 B CN 1906026B
Authority
CN
China
Prior art keywords
coating
yittrium oxide
substrate
oxide
parts
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2004800407563A
Other languages
English (en)
Other versions
CN1906026A (zh
Inventor
C·常
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1906026A publication Critical patent/CN1906026A/zh
Application granted granted Critical
Publication of CN1906026B publication Critical patent/CN1906026B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/04Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/52Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)

Abstract

半导体材料加工设备中的氧化钇涂覆的陶瓷部件,包括衬底和衬底上的至少一层含有氧化钇的涂层。这些部件这样制造,将第一含氧化钇的涂层施用在陶瓷衬底上,该衬底可以是陶瓷材料生坯。将涂覆的生坯烧结。对该第一含氧化钇的涂层进行处理,去除因烧结而产生的附着的氧化钇颗粒。在另一个实施方案中,可以在第一含氧化钇的涂层上热喷涂第二含氧化钇的涂层,以覆盖这些颗粒。

Description

半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法
发明背景
在半导体材料加工领域中,包括真空工艺腔的半导体材料加工设备用来进行例如衬底上各种材料的刻蚀和化学气相沉积(CVD)以及抗蚀剂剥离。这些工艺包括将工艺气体供入真空腔中,并对气体施加RF场以产生等离子体。由于在这些工艺腔中工艺气体和等离子体的腐蚀性以及希望使腔室中处理的衬底受到的颗粒和/或金属的污染最小化,希望这些设备中暴露在等离子体中的部件对这些气体和等离子体具有抗侵蚀性和抗腐蚀性。
发明简述
提供半导体加工设备中的氧化钇涂覆的陶瓷部件。氧化钇涂覆的陶瓷部件的一个优选实施方案包括由陶瓷材料生坯构成的衬底,以及在衬底至少一个表面上的热喷涂的含氧化钇涂层。
衬底可以包括各种陶瓷材料。在一个优选的实施方案中,衬底包括氧化铝。含氧化钇的涂层可以基本上由氧化钇构成,或者其可进一步包括一种或者多种另外的化合物。
这些部件可以是例如半导体材料加工设备中的介电窗,腔壁,腔衬里,衬底支架,挡板,气体分布板,等离子体限制环,喷嘴,紧固件,加热件,等离子体聚焦环,卡盘或者等离子体屏。
半导体材料加工设备中的氧化钇涂覆的陶瓷部件的另一个优选实施方案包括由陶瓷材料构成的衬底,在衬底上的热喷涂的第一含氧化钇的涂层,以及在第一含氧化钇的涂层和衬底之间的界面处的包括陶瓷材料和氧化钇的多相氧化物的结合层。该结合层通过将衬底和热喷涂后的第一涂层共烧结而制成。在另一个优选的实施方案中,可以对含氧化钇的涂层的暴露表面用等离子体调节处理进行处理以减少共烧结后在暴露表面上附着的氧化钇颗粒。而在另一个优选的实施方案中,可以在烧结的第一含氧化钇的涂层上提供热喷涂后的第二含氧化钇的涂层,来覆盖氧化钇颗粒。
在氧化钇涂覆的陶瓷部件的一个优选实施方案中,这第二含氧化钇的涂层可具有的表面粗糙度能有效提高淀积物在其表面上的粘附性。
制造半导体材料加工设备中的氧化钇涂覆的陶瓷部件的方法的一个优选实施方案包括,在衬底的至少一个表面上热喷涂含氧化钇的涂层,该衬底是陶瓷材料生坯。
制造半导体材料加工设备中的氧化钇涂覆的陶瓷部件的方法的另一个优选实施方案包括,将衬底和热喷涂后的含氧化钇的涂层共烧结,以在衬底和该含氧化钇的涂层之间的界面处形成包括陶瓷材料和氧化钇的多相氧化物的结合层。
制造半导体材料加工设备中的氧化钇涂覆的陶瓷部件的方法的另一个优选实施方案包括,在共烧结以后,在含氧化钇的涂层上热喷涂第二含氧化钇的涂层。
制造半导体材料加工设备中的氧化钇涂覆的陶瓷部件的方法的另一个优选实施方案包括,对含氧化钇的涂层的暴露表面用等离子体调节方法处理,以减少共烧结后在暴露表面上附着的氧化钇颗粒。
附图简述
图1显示了氧化钇涂覆的陶瓷部件的一个优选实施方案,该部件包括衬底和衬底上的含氧化钇的涂层。
图2显示了氧化钇涂覆的陶瓷部件的另一个优选实施方案,该部件包括衬底和衬底上的第一含氧化钇的涂层以及该第一含氧化钇的涂层上的第二层含氧化钇的涂层。
图3是多晶硅刻蚀设备中的气环装置的一个实施方案的横截面图。
图4显示了多晶硅刻蚀腔,其包括氧化钇涂覆的陶瓷部件的实施方案。
图5显示了一种高密度等离子体氧化物刻蚀腔,其包括氧化钇涂覆的陶瓷部件的实施方案。
发明详述
提供半导体材料加工设备的氧化钇涂覆的陶瓷部件。在处理衬底的过程中,陶瓷部件的含氧化钇的涂层对半导体材料加工设备的等离子体工艺腔中存在的腐蚀气体和等离子体所产生的物理和/或化学冲击具有抗磨损性。正如这里所用到的,“抗磨损”一词包括但不限于抵抗工艺气体,等离子体或者等离子体副产物产生的侵蚀,腐蚀和/或侵蚀-腐蚀。
氧化钇涂覆的陶瓷部件的优选实施方案包括至少一层含氧化钇的涂层,其形成部件的外表面,并可以抵抗半导体材料加工设备中的腐蚀气体和等离子体所产生的化学和/或物理冲击。这些设备可以用来在半导体衬底,例如半导体晶片,平板显示衬底以及其它类似制品的制造中进行刻蚀,淀积(例如CVD,PECVD及类似工艺)或者抗蚀剂剥离工艺。
氧化钇涂覆的陶瓷部件可以是在半导体材料加工设备中暴露在等离子体和/或腐蚀气体中的多种部件。示例性的部件包括但不限于,等离子体和/或真空腔室(例如等离子体刻蚀器)的部件,例如,介电窗,腔壁,腔衬里,衬底支架,挡板,气体分布板,气体分布环,卡盘机构(例如静电卡盘),聚焦环,喷嘴,紧固件,加热件,等离子体屏以及其它类似部件。
如图1中所示,氧化钇涂覆的部件65的一个优选实施方案包括由陶瓷材料组成的衬底70,和在衬底的至少一个表面72上热喷涂的含氧化钇的涂层80。衬底70的陶瓷材料可以包括例如化学计量比的和非化学计量比的氧化铝(Al2O3),石英,氧化锆,碳化硅(SiC),氮化硅(Si3N4),碳化硼(B4C),氮化硼(BN),氮化铝,或者它们的混和物。在一个优选实施方案中,陶瓷材料是氧化铝。
氧化钇涂覆的陶瓷部件65的衬底70可以这样制造,例如,制备陶瓷材料的浆料,例如通过压实技术,流延以及其它类似方法由该浆料制成具有所希望形状的生坯。在浆料中还可以含有粘结剂以及其它适用的添加剂。优选的将生致密体制成所希望部件的形状。在一个优选的实施方案中,含氧化钇的涂层80是热喷涂在生坯的一个或多个表面上的,也就是说,在热喷涂之前,没有对陶瓷材料进行部分或者完全烧结来部分或者完全提高机械强度。
另一个优选实施方案包括在向衬底的一个或者多个表面上热喷涂含氧化钇的涂层80之前,对衬底70进行部分烧结。例如,可以将衬底在大约800℃到大约1600℃的温度下部分烧结。烧结时间可以依据如下因素而变化,这些因素包括部件尺寸和形状,以及所希望由烧结得到的密度水平,例如完全密度的大约60%到大约80%。例如,烧结时间可以在1个小时到几天的范围,以在衬底上热喷涂含氧化钇的涂层之前在部件中得到部分的机械强度。例如,在Engineered MaterialsHandbook(ASM International,1991)第4卷,第3和第4部分,123-304页描述了可以用来成型和致密化衬底的示例性的陶瓷加工技术的细节,因此将其整体通过引用而引入。
优选的,通过向表面上只热喷涂氧化钇来制备在衬底70之上施用的含氧化钇的涂层80,以及下面要描述的施用在首先施用的含氧化钇的涂层80之上的一层或多层另外的含氧化钇的涂层。这些部件的含氧化钇的涂层还可以包括各种化合物,比如La,Ce,Pr,Nd,Pm,Sm,Eu,Gd,Tb,Dy,Ho,Er,Tm,Yb和Lu中的至少一种的氧化物,碳化物,氮化物,硼化物和/或碳氮化物的一种或多种。为了尽量使含有一个或多个氧化钇涂覆的陶瓷部件的等离子体加工设备中所处理的衬底受到的污染最小化,优选的,含氧化钇的涂层具有高纯度。例如,优选的,含氧化钇的涂层含有最小量的潜在的会污染衬底,例如半导体晶片的元素。这些元素包括过渡金属,碱金属以及其它类似元素。在优选实施方案中,含氧化钇的涂层可以足够纯,以避免晶片上的污染为1010个原子/平方厘米或者更高,优选的105个原子/平方厘米或者更高。优选的,含氧化钇的材料的纯度至少为大约99%,更优选的从大约99.95%到大约100%。
优选的,将衬底70和施用在衬底上的含氧化钇的涂层80在有效地在衬底70和含氧化钇的涂层80之间的界面上形成结合层74并将衬底完全烧结的条件下进行共烧结。结合层74提供了所施用的含氧化钇的涂层80和衬底70之间的增强的结合强度。优选的,共烧结在从大约800℃到大约1500℃的温度下进行,时间可以最多达到大约7天。共烧结可以在真空气氛下或者在惰性气体气氛下进行。在衬底70和含氧化钇的涂层80之间形成的结合层74的组成和结构由衬底的陶瓷材料决定。例如,在衬底是氧化铝的实施方案中,结合层中可以包括含有氧化钇和氧化铝的多相氧化物结构,比如3Y2O3-5Al2O3和/或Y2O3-Al2O3。在衬底是氧化锆的实施方案中,结合层可以包括例如Y2Zr2O7
共烧结过程可以在含氧化钇的涂层80上形成一层基本上没有微开裂的表面光洁层(finish),在等离子体加工中,由于等离子体加工设备中的部件所遭受到的热周期疲劳,微开裂会引起颗粒的产生。
而已经确定,施用在衬底70上的烧结后的含氧化钇的涂层80中会含有氧化钇颗粒,其附着在该涂层的暴露的外表面82上。在等离子体加工过程中,这些氧化钇颗粒潜在的会因暴露在等离子体中而脱落,结果,就会污染衬底。在一个优选的实施方案中,用等离子体调节方法对在共烧结部件的衬底70上形成的含氧化钇的涂层80的暴露表面82进行处理,以减少附着在暴露表面上的氧化钇颗粒。在共同未决的US专利申请No.09/607922中,描述了从暴露表面82上去除这种附着的氧化钇颗粒的合适的等离子体调节处理方法,这里将其整个通过引用而引入。等离子体调节处理可以这样进行,将部件65装配到半导体材料加工设备的等离子体工艺腔中,在用腔室中存在的部件65处理任何产品晶片之前,对其进行等离子体调节处理,以从暴露的含氧化钇的表面82上去除氧化钇颗粒。
图2中给出了氧化钇涂覆的陶瓷部件165的另一个优选的实施方案。在这个实施方案中,在衬底70上的含氧化钇的涂层80之上通过热喷涂至少一层另外的含氧化钇的涂层90来覆盖在氧化钇涂覆的衬底70的暴露表面82上的氧化钇颗粒。外部的含氧化钇的涂层90具有足够的厚度来盖住下面的含氧化钇的涂层80的暴露表面82上的氧化钇颗粒,这样,当部件165被装配在等离子体腔中时,氧化钇颗粒就不会暴露在等离子体中。
向衬底70上施用含氧化钇的涂层80,以及另外在上面的含氧化钇的涂层(例如涂层90)的优选热喷涂技术是等离子体喷涂。例如,在L.Pawlowski,The Science and Engineering of Thermal SprayCoatings(John Wiley & Sons,1996)中描述了等离子体喷涂技术,因此,将其整个通过引用而引入。
热喷涂的含氧化钇的涂层在喷涂之后的厚度从大约0.001英寸到大约1英寸,优选的从大约0.001英寸到大约0.5英寸,更优选的从大约0.001英寸到大约0.01英寸。可以对含氧化钇的涂层的厚度进行选择,以使其与所遇到的等离子体环境(例如刻蚀,淀积,或者抗蚀剂剥离)相容。
热喷涂的含氧化钇的涂层80可以直接形成在衬底70上,预先对衬底表面72可以进行粗化或者不进行粗化,且无需中间涂层来提高涂层的粘附性。在一个优选的实施方案中,含氧化钇的涂层80提供了与衬底70的合适的粘附性,而没有预先对衬底进行粗化和/或形成中间层,这样就避免了另外的工艺步骤。含氧化钇的涂层80与下面的衬底70之间具有高的结合强度。优选的,含氧化钇的涂层所具有的抗拉结合强度从大约200ksi到大约400ksi。
形成的热喷涂的含氧化钇的涂层可具有所希望的表面粗糙度特征,且可具有的表面粗糙度范围从光滑到粗糙。粗糙的含氧化钇的表面能够有效提高淀积物的粘附性,淀积物比如在等离子体刻蚀过程中,例如金属刻蚀过程中,因采用聚合物形成物质(例如碳氟化合物)而产生的聚合物淀积物。正如在共同未决的US专利申请No.09/749917中描述的那样,在刻蚀过程中这些聚合物淀积物会从腔室表面脱落下来并污染腔室中的衬底,这里通过引用引入该申请的全部。重复的等离子体工艺周期中产生的热循环会使这个问题恶化。
热喷涂的含氧化钇的涂层可以具有的算术平均表面粗糙度(Ra)的范围从大约5到大约500微英寸,优选的从大约120到大约250微英寸。在此优选范围内的表面粗糙度值可以提高在等离子体刻蚀工艺中,淀积在反应腔室内表面上的聚合物的粘附性,由此降低这些聚合物淀积物对处理的衬底所产生污染的发生。
含氧化钇的涂层可具有低的孔隙率,这可以使侵蚀性(aggressive)气氛与下面的衬底之间的接触最小化,由此还可以使随后的因这些侵蚀性气氛对衬底的腐蚀,侵蚀和/或腐蚀-侵蚀而产生的物理和/或化学冲击最小化。优选的,含氧化钇的涂层具有的孔隙率按体积比小于20%,更优选的按体积比小于大约5%。
另外,含氧化钇的涂层可具有足够的硬度以抵抗侵蚀。
含氧化钇的涂层具有的晶体结构优选的为大约10%到大约100%立方晶体结构,更优选的,从大约95%到大约100%立方晶体结构。
含氧化钇的涂层可提供所希望的抗磨损性能,以用在半导体加工设备中,像例如等离子体刻蚀腔,特别的,在等离子体反应腔中,含氧化钇的涂层提供的表面可以降低离子引起的侵蚀并降低相并的颗粒污染水平。含氧化钇的涂层还可保护下面的衬底免于等离子体的物理和化学冲击。
氧化钇涂覆的陶瓷部件可以用在各种等离子体气氛中以用于刻蚀,淀积,抗蚀剂剥离以及其它应用。典型的刻蚀化学品包括例如含氯的气体,包括但不限于,Cl2,HCl和BCl3;含溴的气体,包括但不限于,Br2和HBr;含氧的气体,包括但不限于,O2,H2O和SO2;含氟的气体,包括但不限于,CF4,CH2F2,NF3,CH3F,C2F6,CHF3和SF6;以及惰性气体或者其它气体,包括但不限于,He,Ar和N2。依赖于所希望的等离子体,这些和其它气体可以以任意合适的组合方式使用。各种工艺气体的合适流速可以基于下面的参数进行选择,这些参数包括但不限于,等离子体反应室的类型,电源配置,腔室压力,等离子体解离速率,刻蚀化学品,刻蚀的材料,以及采用工艺气体的刻蚀工艺的特定步骤。
在半导体等离子体刻蚀工艺中,氧化钇涂覆的陶瓷部件可以用在半导体加工设备的刻蚀腔中用来刻蚀含硅和含金属材料的。例如,在这些腔中可以刻蚀的含硅材料,含硅材料包括但不限于,单晶硅,多晶硅,非晶硅,氮化硅,氮氧化硅,硅化物,二氧化硅,低k材料和高k材料。含硅的材料可以是掺杂的或者未掺杂的和/或退火的或者未退火的。还可采用应变硅。
可以刻蚀的导电的或者半导性的含金属材料包括但不限于,铝,铝合金,铜,铜合金,钨,钨合金,钛,钛合金,钽,钽合金,铂,铂合金,钌,钌合金,铬,铬合金,铁,铁合金,镍,镍合金,钴,钴合金,钼,钼合金,钛,钨,铬,钴和/或钼的硅化物,铁电材料,比如硅化铂和氧化钌,以及GMR材料,比如氮化钽,硅化铬和NiFeCo合金。
例如,氧化钇涂覆的陶瓷部件可用作多晶硅高密度等离子体反应室中的反应室部件。这种类型的示例性反应器的例子是可以从California的Lam Research Corporation of Fremont得到的TCP9400TM等离子体刻蚀反应器。在这种反应器中,将工艺气体(例如Cl2,HBr,CF4,CH2F2,O2,N2,Ar,SF6和NF3)供入位于刻蚀腔底部的供气环中,然后通过气孔导入到反应腔中。图3是TCP 9400TM多晶硅刻蚀反应器中的气环的剖面图。如图3所示,气环40的主体包围在衬底支架44周围,气环40的底面含有一个环形导气槽60。气孔50延伸到导气槽60中。由从线圈通过介电屏蔽进入到腔室内部的感应耦合RF能产生高密度等离子体。
气环40一般由铝制成。气环的上表面直接暴露于等离子体中,这样就会受到侵蚀。为保护这些表面,气环可以是氧化钇涂覆的陶瓷部件,其含有暴露的含氧化钇的涂层42。这种多晶硅刻蚀反应器中的其它的在工艺过程中暴露在等离子体中的部件也可以是氧化钇涂覆的陶瓷部件。这些部件包括腔壁,腔衬里,卡盘设备以及介电窗。
另一个示例性的多晶硅刻蚀反应器是同样可以从California的Lam Research Corporation of Fremont得到的VersysTM多晶硅刻蚀器或2300TM刻蚀器。图4是2300TM多晶硅刻蚀反应器的横截面图,其包括衬底支架152,其静电卡盘154,该卡盘可以对固定在其上的衬底施加钳制力。在静电卡盘154周围在衬底支架152上按所示固定着等离子体聚焦环170。衬底支架152也能用来对衬底施加RF偏压。衬底能用传热气体进行背冷。在2300TM刻蚀器中,工艺气体(例如Cl2,HBr,CF4,CH2F2,O2,N2,Ar,SF6和NF3)通过与气体供应156相连的气体注入嘴168导入到腔室150中。气体注入嘴168一般用石英或者陶瓷材料比如氧化铝制成。正如所示,感应线圈158可以用适宜的RF源驱动来提供高密度等离子体。感应线圈158通过介电窗160将RF能量耦合到腔室150内部中。介电窗160一般由石英或者氧化铝制成。介电窗160按所示固定在环状元件162上,环状元件162将介电窗160从腔室150的顶部隔开并被称作“气体分布板”。腔衬里164包围着衬底支架152。腔室150还可以包括适宜的真空泵装置以使腔室内部维持在所希望的压力。
在图3所示的示例性实施方案中,特定的反应器部件比如环状元件162,介电窗160,衬底支架152,腔衬里164,气体注入嘴168,聚焦环170和/或静电卡盘154可以是氧化钇涂覆的部件并具有暴露的含氧化钇的涂层166。如图4中所示,腔室150的壁和在腔衬里164下面的衬底支架152也可以是氧化钇涂覆的陶瓷部件。这些部件的任何一个或者全部以及任何其它的暴露在等离子体中的部件可以是氧化钇涂覆的陶瓷部件。
这些反应器部件也能用在高密度氧化物刻蚀工艺中。一种示例性的氧化物刻蚀反应器是可以从California的Lam ResearchCorporation of Fremont得到的TCP 9100TM等离子体刻蚀反应器。在TCP 9100TM反应器中,气体分布板是一个直接位于TCPTM窗下面的圆形板,TCPTM窗也是位于反应器顶部的真空密封面,其位于被处理的衬底上部并与之平行的平面内。气体分布环从气源向气体分布板、窗的内表面和气体分布环限定的空间中供气,该窗位于向反应器中供应RF能量的平面螺旋线圈式天线下面。气体分布板中含有贯穿该板的孔。气体分布板材料是介电性的,能够将RF能量通过气体分布板耦合到反应器中。而且,希望气体分布板材料对在诸如氧或水-碳氟气等离子体环境中的化学溅射刻蚀具有高度的抵抗性,以避免破坏和由此引起的颗粒的产生。
图5所示的是这种类型的等离子体反应室。该反应室包含等离子体腔10,其包括衬底支架12,其具有静电卡盘34,该卡盘可以对衬底13施加钳制力以及对衬底施加RF偏置。衬底能够用传热气体进行背冷。聚焦环14将等离子体限定在衬底上面的区域里。在腔室中的高密度(例如1011-1012个离子/cm3)等离子体的能量源,比如用适宜的RF源驱动来提供高密度等离子体的天线18,置于等离子体腔室10的顶部。该腔室包括适宜的真空泵装置以使腔室内部维持在所希望的压力(例如在50mTorr以下,典型的在1-20mTorr)。
在天线18和等离子体工艺腔10的内部之间提供基本上平的介电窗20,该介电窗在工艺腔10的顶部形成真空壁。气体分布板22位于窗20的下方,并包括将工艺气体从气体供应23递送到腔室10中的开口。圆锥状或圆柱状的衬里30从气体分布板延伸并环绕在衬底支架12周围。可选的,天线18可以提供有通道24,传热流体通过入口和出口管道25,26在该通道中循环。
在操作中,将半导体衬底比如硅晶片放到衬底支架12上,并用静电卡盘34固定到位。将工艺气体供入真空工艺腔10中。通过向天线18上供应RF源,在衬底和窗20之间的空间中产生高密度等离子体。
在图5所示的设备中,各种反应器部件比如气体分布板22,腔衬里30,静电卡盘34和聚焦环14可以是氧化钇涂覆的陶瓷部件,其含有暴露的含氧化钇的涂层32。
上述高密度多晶硅和介电刻蚀腔仅仅是引入了氧化钇涂覆的陶瓷部件的等离子体刻蚀反应室的例子。这种部件可以用在任何刻蚀反应器(例如金属刻蚀反应器)中,或者其中存在等离子体侵蚀问题的其它类型的半导体加工设备中。
其它的氧化钇涂覆的部件可以是腔壁,衬底支架,紧固件以及其它类似部件,这些部件一般暴露在等离子体中。其它的部件可以不直接暴露在等离子体中,但暴露在腐蚀性气体,比如从处理的晶片中释放出的气体或者其它类似气体中。在处理半导体衬底中使用的其它设备也可以是氧化钇涂覆的陶瓷部件。这些设备可包括传送机构,气体供应系统,衬里,升降机构,加载锁,室门机构,机械臂,紧固件及其它类似的设备。
尽管参照具体实施方案对本发明进行了详细描述,对本领域技术人员来讲,明显的可以对其进行各种更改和修正,并可采用各种等同物,而不脱离所附权利要求的范围。

Claims (24)

1.半导体材料加工设备中的氧化钇涂覆的陶瓷部件,包括:
包含陶瓷材料的衬底;
在衬底的至少一个表面上热喷涂的第一含氧化钇涂层;以及
在衬底和第一含氧化钇的涂层之间的界面处的包含多相氧化物的结合层,该多相氧化物包括该陶瓷材料和氧化钇,该结合层通过衬底和热喷涂后的第一含氧化钇的涂层之间的共烧结形成;
其中(i)第一含氧化钇的涂层包括经过等离子体调节处理的暴露表面,以减少共烧结后附着在暴露表面的氧化钇颗粒,或者(ii)该部件进一步包括在烧结的第一含氧化钇的涂层上热喷涂的第二含氧化钇涂层,该第二含氧化钇的涂层覆盖附着在暴露表面上的氧化钇颗粒。
2.权利要求1中的部件,其中
当(i)时,第一含氧化钇的涂层的孔隙率低于约20%,晶体结构为大约95%到大约100%的立方晶体结构,结合强度为大约200ksi到大约400ksi;
当(ii)时,第一和第二含氧化钇的涂层的孔隙率低于大约20%,晶体结构为大约95%到大约100%的立方晶体结构,结合强度为大约200ksi到大约400ksi。
3.权利要求1中的部件,其中该部件选自介电窗、腔壁、腔衬里、衬底支架、档板、气体分布板、等离子体限制环、喷嘴、紧固件、加热件、等离子体聚焦环、卡盘和等离子体屏。 
4.权利要求1中的部件,其中该衬底包含选自氧化铝、石英、氧化锆、碳化硅、氮化硅、碳化硼、氮化硼、氮化铝和它们的混和物的陶瓷材料。
5.权利要求1中的部件,其中该部件中包括第二含氧化钇的涂层,并且第一和第二含氧化钇的涂层包含选自La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb和Lu中的至少一种元素的氧化物、碳化物、氮化物、硼化物和/或碳氮化物。
6.权利要求1中的部件,其中该部件中包括第二含氧化钇的涂层,并且第一和第二含氧化钇的涂层位于衬底的整个外表面上。
7.权利要求1中的部件,其中该部件中包括第二含氧化钇的涂层,并且第一和第二含氧化钇的涂层基本上由氧化钇构成。
8.权利要求1中的部件,其中该部件中包括第二含氧化钇的涂层,该涂层包含具有的粗糙度能有效提高淀积在暴露表面上的聚合物淀积物的粘附性的暴露表面。
9.权利要求1中的部件,其中:
衬底基本上由氧化铝构成;
第一涂层基本上由氧化钇构成;
结合层包含钇铝石榴石;并且
该部件中包括第二基本上由氧化钇构成的含氧化钇的涂层。
10.权利要求1中的部件,其中该半导体材料加工设备包括等离子体刻蚀器。 
11.包含至少一个依据权利要求1中的部件的半导体材料加工设备。
12.在半导体材料加工设备中加工半导体材料的方法,包括在含有至少一个依据权利要求1中的部件的半导体材料加工设备的等离子体腔中用等离子体加工半导体材料。
13.依据权利要求12中的方法,其中该加工包括在等离子体腔中等离子体刻蚀多晶硅半导体材料。
14.制造半导体材料加工设备中的氧化钇涂覆的陶瓷部件的方法,包括在衬底的至少一个表面上热喷涂第一含氧化钇的涂层,该衬底包含陶瓷材料生坯;将衬底和热喷涂后的第一含氧化钇的涂层共烧结,以便在衬底和第一含氧化钇的涂层之间的界面处形成包含多相氧化物的结合层,该多相氧化物包括该陶瓷材料和氧化钇;并在第一含氧化钇的涂层上热喷涂第二含氧化钇的涂层。
15.权利要求14中的方法,进一步包括,在共烧结后,用等离子体调节处理对第一含氧化钇的涂层的暴露表面进行处理,以便减少在共烧结后附着在暴露表面上的氧化钇颗粒。
16.权利要求14中的方法,其中的陶瓷材料是氧化铝,第一和第二含氧化钇的涂层基本上由氧化钇构成。
17.权利要求14中的方法,其中在大约800℃到大约1600℃的温度下对衬底和第一含氧化钇的涂层进行共烧结,持续最长大约7天的时间。
18.权利要求14中的方法,其中该部件选自介电窗、腔壁、腔衬里、衬底支架、挡板、气体分布板、等离子体限制环、喷嘴、紧固件、加热件、等离子体聚焦环、卡盘和等离子体屏。 
19.权利要求14中的方法,其中该衬底包含选自氧化铝、石英、氧化锆、碳化硅、氮化硅、碳化硼、氮化硼、氮化铝和它们的混和物的陶瓷材料。
20.权利要求14中的方法,其中第一和第二含氧化钇的涂层中包含选自La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Br、Tm、Yb和Lu中的至少一种元素的氧化物、碳化物、氮化物、硼化物和/或碳氮化物。
21.权利要求14中的方法,其中在衬底的整个外表面上热喷涂第一和第二含氧化钇的涂层。
22.权利要求14中的方法,其中第二含氧化钇的涂层上包括具有的粗糙度能有效提高淀积在暴露表面上的聚合物颗粒形式的等离子体刻蚀副产物的粘附性的暴露表面。
23.权利要求14中的方法,其中该半导体材料加工设备包括等离子体刻蚀器。
24.制造半导体材料工艺腔中的氧化钇涂覆的陶瓷部件的方法,包括:
将含有陶瓷材料的衬底进行部分烧结;
在衬底的至少一个表面上热喷涂第一含氧化钇的涂层;
将衬底和热喷涂后的第一含氧化钇的涂层共烧结,以便在衬底和第一含氧化钇的涂层之间的界面处形成包括该陶瓷材料和氧化钇的多相氧化物的结合层;并
(i)用等离子体调节处理处理第一含氧化钇的涂层的暴露表面,以便减少共烧结后附着在暴露表面上的氧化钇颗粒,或者(ii)在烧结后的第一含氧化钇的涂层上面热喷涂第二含氧化钇的涂层,以便覆盖共烧结后附着在暴露表面上的氧化钇颗粒。 
CN2004800407563A 2003-12-18 2004-12-09 半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法 Active CN1906026B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/737,917 2003-12-18
US10/737,917 US7220497B2 (en) 2003-12-18 2003-12-18 Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
PCT/US2004/041206 WO2005062758A2 (en) 2003-12-18 2004-12-09 Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components

Publications (2)

Publication Number Publication Date
CN1906026A CN1906026A (zh) 2007-01-31
CN1906026B true CN1906026B (zh) 2011-08-03

Family

ID=34677288

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800407563A Active CN1906026B (zh) 2003-12-18 2004-12-09 半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法

Country Status (6)

Country Link
US (2) US7220497B2 (zh)
JP (1) JP2007516921A (zh)
KR (1) KR101177333B1 (zh)
CN (1) CN1906026B (zh)
TW (1) TWI381415B (zh)
WO (1) WO2005062758A2 (zh)

Families Citing this family (449)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US20050193951A1 (en) * 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
JP4467453B2 (ja) * 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
JP4666575B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
KR100915722B1 (ko) * 2005-06-23 2009-09-04 도쿄엘렉트론가부시키가이샤 반도체 처리 장치용의 구성 부재 및 그 제조 방법, 및반도체 처리 장치
US7494723B2 (en) 2005-07-29 2009-02-24 Tocalo Co., Ltd. Y2O3 spray-coated member and production method thereof
US20070032072A1 (en) * 2005-08-02 2007-02-08 Stmicroelectronics Inc. Nucleation layer deposition on semiconductor process equipment parts
JP4796354B2 (ja) * 2005-08-19 2011-10-19 日本碍子株式会社 静電チャック及びイットリア焼結体の製造方法
JP4555865B2 (ja) * 2005-08-22 2010-10-06 トーカロ株式会社 耐損傷性等に優れる溶射皮膜被覆部材およびその製造方法
US20090130436A1 (en) * 2005-08-22 2009-05-21 Yoshio Harada Spray coating member having excellent heat emmision property and so on and method for producing the same
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7648782B2 (en) * 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
US7850864B2 (en) * 2006-03-20 2010-12-14 Tokyo Electron Limited Plasma treating apparatus and plasma treating method
JPWO2008032627A1 (ja) * 2006-09-11 2010-01-21 株式会社アルバック ドライエッチング方法
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US7919722B2 (en) * 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US20080106842A1 (en) * 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080233403A1 (en) * 2007-02-07 2008-09-25 Timothy Dyer Method of Making Ceramic Reactor Components and Ceramic Reactor Component Made Therefrom
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
TWI654159B (zh) * 2007-04-27 2019-03-21 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
TWI484576B (zh) * 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
CN101521143B (zh) * 2008-02-25 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 一种用于半导体工艺设备的衬套机构及其制造方法
JP2009212293A (ja) * 2008-03-04 2009-09-17 Tokyo Electron Ltd 基板処理装置用の部品及び基板処理装置
US9078336B2 (en) * 2008-03-05 2015-07-07 Emd Corporation Radio-frequency antenna unit and plasma processing apparatus
JP5067279B2 (ja) * 2008-06-25 2012-11-07 東京エレクトロン株式会社 処理装置
KR100966132B1 (ko) * 2008-07-25 2010-06-25 주식회사 코미코 내 플라즈마성 갖는 세라믹 코팅체
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100186663A1 (en) * 2009-01-23 2010-07-29 Applied Materials, Inc. Methods and apparatus for protecting a substrate support in a semiconductor process chamber
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US9076634B2 (en) * 2009-09-10 2015-07-07 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
WO2011100527A1 (en) * 2010-02-12 2011-08-18 Morgan Advanced Ceramics, Inc. Method for texturing ceramic components
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
US20110207332A1 (en) * 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
KR101141577B1 (ko) * 2010-07-07 2012-06-08 (주)세미머티리얼즈 태양전지의 플라즈마 텍스처링 장치 및 방법
US8430970B2 (en) 2010-08-09 2013-04-30 Lam Research Corporation Methods for preventing corrosion of plasma-exposed yttria-coated constituents
CN102079616B (zh) * 2010-12-02 2012-11-14 内蒙古科技大学 浮法玻璃澄清脱色方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE202011002844U1 (de) 2011-02-17 2011-06-09 LAM RESEARCH CORPORATION (Delaware Corporation), California Erweiterungselektrode einer Plasmaschrägkantenätzvorrichtung
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2014522916A (ja) * 2011-08-10 2014-09-08 インテグリス・インコーポレーテッド 任意のイットリア被覆層を有するAlONコーティングされた基体
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102522306A (zh) * 2011-12-29 2012-06-27 中微半导体设备(上海)有限公司 喷淋头
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
CN103484809B (zh) * 2012-06-14 2015-09-02 北京北方微电子基地设备工艺研究中心有限责任公司 抗腐蚀涂层的制作方法、抗腐蚀涂层、等离子体加工设备
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103794459B (zh) * 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
DE102013214563A1 (de) * 2013-07-25 2015-01-29 Robert Bosch Gmbh Funktionselement zum Einsatz in Hochtemperaturanwendungen
US9624593B2 (en) * 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN103716925B (zh) * 2014-01-02 2016-01-20 韩玖町 一种面电热元件的无污染型制造方法
US9975320B2 (en) 2014-01-13 2018-05-22 Applied Materials, Inc. Diffusion bonded plasma resisted chemical vapor deposition (CVD) chamber heater
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20160145062A (ko) 2014-04-09 2016-12-19 코닝 인코포레이티드 디바이스 변경된 기판 물품 및 제조 방법
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101658284B1 (ko) * 2014-08-05 2016-09-20 주식회사 엘지실트론 잉곳성장장치
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20160030812A (ko) * 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN107078079A (zh) * 2014-10-15 2017-08-18 应用材料公司 抗腐蚀减量系统
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
DK178658B1 (da) * 2015-02-04 2016-10-17 Tresu As Kammerrakel
CN105990081B (zh) * 2015-02-09 2018-09-21 中微半导体设备(上海)有限公司 等离子体处理装置及其制作方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102376982B1 (ko) * 2015-04-14 2022-03-21 삼성전자주식회사 세라믹을 이용하여 파티클 저감 효과를 가지는 원격 플라즈마 발생장치
US9790582B2 (en) * 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
JP2018524201A (ja) 2015-05-19 2018-08-30 コーニング インコーポレイテッド シートをキャリアと結合するための物品および方法
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2016209897A1 (en) 2015-06-26 2016-12-29 Corning Incorporated Methods and articles including a sheet and a carrier
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6573820B2 (ja) 2015-11-09 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置用部材及びプラズマ処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6854600B2 (ja) * 2016-07-15 2021-04-07 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、および基板載置台
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
DE102016009730A1 (de) * 2016-07-28 2018-02-01 Forschungszentrum Jülich GmbH Verfahren zur Verstärkung von transparenten Keramiken sowie Keramik
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11205795B2 (en) * 2016-11-21 2021-12-21 Fuelcell Energy, Inc. Reinforced matrix for molten carbonate fuel cell and method for manufacturing the same
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102195751B1 (ko) * 2016-12-14 2020-12-28 가부시키가이샤 아루박 성막 장치 및 성막 방법
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110520628A (zh) * 2017-03-21 2019-11-29 部件再设计股份有限公司 在高腐蚀性或侵蚀性工业应用中使用的陶瓷材料组件
CN106887381B (zh) * 2017-03-27 2019-11-22 上海华力微电子有限公司 一种刻蚀腔体环境稳定性的优化方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10727195B2 (en) * 2017-09-15 2020-07-28 Technetics Group Llc Bond materials with enhanced plasma resistant characteristics and associated methods
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP6967944B2 (ja) * 2017-11-17 2021-11-17 東京エレクトロン株式会社 プラズマ処理装置
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
WO2019118660A1 (en) * 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
CN111566255A (zh) * 2017-12-18 2020-08-21 恩特格里斯公司 通过原子层沉积涂覆的耐化学性多层涂层
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020028256A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Honeycomb injector with dielectric window for substrate processing systems
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7268177B2 (ja) * 2019-02-12 2023-05-02 アプライド マテリアルズ インコーポレイテッド チャンバ部品を製造するための方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN111627790B (zh) * 2019-02-27 2024-05-03 Toto株式会社 半导体制造装置构件、半导体制造装置、显示器制造装置
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR102272156B1 (ko) 2019-09-03 2021-07-01 한국세라믹기술원 이트륨계 세라믹 및 그 제조 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110723964B (zh) * 2019-09-30 2022-04-01 江西中材新材料有限公司 一种阻隔层、烧结模具及其制备方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR102290498B1 (ko) 2020-03-30 2021-08-17 (주)도 은 렌즈 코팅용 옥시불화이트륨을 함유하는 저굴절 물질 및 그의 제조방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113802094B (zh) * 2020-06-16 2024-04-05 中微半导体设备(上海)股份有限公司 耐腐蚀涂层的镀膜方法及等离子体刻蚀零部件和反应装置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
CN115702131A (zh) * 2020-06-25 2023-02-14 朗姆研究公司 用于半导体处理室的匹配化学性的组件主体及涂层
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
JP2023014880A (ja) * 2021-07-19 2023-01-31 キオクシア株式会社 成膜装置および基板支持装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE202022002731U1 (de) 2022-05-03 2023-02-20 Robert Bosch Gesellschaft mit beschränkter Haftung Schutzelement und Plasmaätzkammer mit einem Schutzelement
DE102022204358A1 (de) 2022-05-03 2023-11-09 Robert Bosch Gesellschaft mit beschränkter Haftung Schutzelement und Plasmaätzkammer mit einem Schutzelement

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4525464A (en) * 1984-06-12 1985-06-25 Max-Planck-Gesellschaft Zur Forderung Der Wissenschaften Ceramic body of zirconium dioxide (ZrO2) and method for its preparation
CN1302254A (zh) * 1998-04-28 2001-07-04 硅谷集团热系统责任有限公司 低k介电无机/有机复合薄膜及其制造方法
US6606234B1 (en) * 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US612379A (en) * 1898-10-11 Claffey and orie p
US4336276A (en) * 1980-03-30 1982-06-22 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Fully plasma-sprayed compliant backed ceramic turbine seal
US4525797A (en) * 1983-01-03 1985-06-25 Motorola, Inc. N-bit carry select adder circuit having only one full adder per bit
JP3076768B2 (ja) * 1997-01-17 2000-08-14 トーカロ株式会社 薄膜形成装置用部材の製造方法
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
WO2001062686A1 (fr) * 2000-02-24 2001-08-30 Ibiden Co., Ltd. Piece frittee en nitrure d'aluminium, substrat en ceramique, corps chauffant en ceramique et mandrin electrostatique
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4540221B2 (ja) * 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
WO2001086717A1 (fr) * 2000-05-10 2001-11-15 Ibiden Co., Ltd. Mandrin electrostatique
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
KR100945315B1 (ko) * 2000-12-12 2010-03-05 도쿄엘렉트론가부시키가이샤 플라즈마 처리 용기의 재생 방법, 플라즈마 처리 용기내부 부재, 플라즈마 처리 용기 내부 부재의 제조 방법,및 플라즈마 처리 장치
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002356387A (ja) * 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP2003059904A (ja) * 2001-07-31 2003-02-28 Applied Materials Inc 半導体製造装置、半導体製造装置のチャンバ、半導体製造装置のチャンバ再生方法
US6789498B2 (en) * 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040086633A1 (en) * 2002-11-04 2004-05-06 Lemmon John P. Systems and methods for the fabrication of solid oxide fuel cell components using liquid spraying
JP4040998B2 (ja) * 2003-03-14 2008-01-30 日本碍子株式会社 セラミックス部材

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4525464A (en) * 1984-06-12 1985-06-25 Max-Planck-Gesellschaft Zur Forderung Der Wissenschaften Ceramic body of zirconium dioxide (ZrO2) and method for its preparation
CN1302254A (zh) * 1998-04-28 2001-07-04 硅谷集团热系统责任有限公司 低k介电无机/有机复合薄膜及其制造方法
US6606234B1 (en) * 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow

Also Published As

Publication number Publication date
JP2007516921A (ja) 2007-06-28
US7220497B2 (en) 2007-05-22
KR101177333B1 (ko) 2012-08-30
TW200531136A (en) 2005-09-16
US20050136188A1 (en) 2005-06-23
US20070166477A1 (en) 2007-07-19
US8293335B2 (en) 2012-10-23
KR20060132649A (ko) 2006-12-21
TWI381415B (zh) 2013-01-01
WO2005062758A3 (en) 2006-01-12
CN1906026A (zh) 2007-01-31
WO2005062758A2 (en) 2005-07-14

Similar Documents

Publication Publication Date Title
CN1906026B (zh) 半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法
US11373882B2 (en) Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
JP4331479B2 (ja) 半導体処理装置における高靭性ジルコニアセラミック構成要素とコーティングおよびその製造方法
KR100882758B1 (ko) 반도체 공정 설비내의 세륨 옥사이드 함유 세라믹 부품 및 코팅
TWI695822B (zh) 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
CN100357489C (zh) 用于半导体工艺设备中的低污染部件及其制造方法
CN107849704A (zh) 具扩散阻障层及抗侵蚀层的多层涂层
US8034734B2 (en) Semiconductor processing apparatus which is formed from yttrium oxide and zirconium oxide to produce a solid solution ceramic apparatus
US7696117B2 (en) Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
CN102210196B (zh) 用于等离子腔室部件的抗等离子涂层
US20180337026A1 (en) Erosion resistant atomic layer deposition coatings
CN109075084A (zh) 保护性金属氟氧化物涂层
CN107964650A (zh) 腔室部件、抗等离子体盖或喷嘴及制造制品的方法
CN105408987A (zh) 稀土氧化物的顶部涂层的离子辅助沉积
US20030064225A1 (en) Diamond-coated member
TWI811232B (zh) 用於高溫加熱器的原子層沉積塗層
US7090932B2 (en) Plasma resistant member

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant